English/Japanese

髙橋 康宏 (たかはし やすひろ)





[略歴]
平成 8年 3月 山形県立米沢興譲館高等学校 理数科 卒業
平成12年 3月 山形大学工学部電子情報工学科 卒業
平成17年 3月 山形大学大学院理工学研究科 修了 博士(工学)
平成17年 4月-
平成19年 3月
岐阜大学工学部電気電子工学科 助手
平成19年 4月-
平成25年 3月
岐阜大学工学部電気電子工学科 助教
平成25年 4月-
平成26年11月
岐阜大学工学部電気電子・情報工学科電気電子コース 助教 (改組による配置換)
平成26年12月- 岐阜大学工学部電気電子・情報工学科電気電子コース 准教授
令和 2年 1月- 岐阜大学高等研究院人工知能研究推進センター 准教授(兼務)



[受賞歴]
平成19年 4月 第9回 LSI IPデザイン・アワード 研究助成賞
平成26年10月 IEEE IMPACT-EMAP 2014 ベストポスター賞
平成29年 5月 IEICE システムと信号処理サブソサイエティ 貢献賞



[連絡先]
郵便番号: 501-1193
住所: 岐阜県岐阜市柳戸1-1 岐阜大学工学部電気電子・情報工学科
電話 & FAX: 058-293-2692 (節税・資産運用のご連絡は一切お断りしています.再三の電話があった場合,関係省庁へ報告します.)
Email: yasut at gifu-u.ac.jp (atは@に置換して下さい.特定電子メールは送信しないで下さい.この開示はオプトイン規制の適用除外に該当しません.)
居室: A307 (工学部棟) (移動しました)  居室: A624 (工学部棟)
Facebook ユニークURL: yasut.takahashi
ResearchGate: https://www.researchgate.net/profile/Yasuhiro-Takahashi-3
Researchmap: https://researchmap.jp/yasut_gifuuniv/
Linkedin: https://jp.linkedin.com/pub/康宏-高橋/55/b24/439

担当講義 (2024年度)

  1. 電子回路学II (3年生前学期,金3限)
  2. 計算機工学II (3年生前学期,水2限)
  3. 情報理論 (2年生後学期,水1限)
  4. 計算機工学I (2年生後学期,火1限,6回担当)
  5. 電子情報工学特論 (博士前期課程第1セメスター,木5限,4回担当)
  6. 電子回路工学特論 (博士前期課程第4セメスター,金2限)
  7. 電子回路設計特論 (博士後期課程後学期,水2限)

研究分野

  1. 半導体集積回路設計:低消費電力システムLSI (断熱的論理回路技術,サブスレッショルド論理回路技術),超高速光通信用LSI (TIA,ポストアンプ)

  2. 回路システム:セキュアシステム (セキュア論理回路,暗号回路実装),高位合成技術 (定数乗算変換最適化,局所探索最適化)

  3. 非線形回路:メモリスタ応用回路 (SRAM,CAM)

  4. 電子回路:音響機器設計 (D級アンプ,スピーカーシステム)

学位論文

Digital Hilbert Transformers: Analysis, Design and VLSI Implementation (山形大学大学院理工学研究科,2005年3月)

研究業績

[査読付学術誌]
  1. T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “A 16-channel optical receiver circuit for multi-core fiber based co-packaged optics module in a 65-nm CMOS chip,” IEEE Trans. Circuits and Syst.-II, vol. 71, no. 5, 2024 (Accepted). (Link to DOI).

  2. T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “A burst-mode receiver with quick response and high consecutive identical digit tolerance for advanced intra-vehicle optical networks,” Microelectronics Journal, vol. 145, 106120 (10 pages), 2024. (DOIサイト).

  3. Y. Takahashi, D. Ito, M. Nakamura, A. Tsuchiya, T. Inoue, and K. Kishine, “Low-power and small-area 4-ch 25-Gb/s transimpedance amplifiers in 65-nm CMOS process,” IEICE Electronics Express, vol. 20, no.18, 20230339 (6 pages), 2023. (DOIサイト).

  4. D. Ito, Y. Takahashi, M. Nakamura, T. Inoue, A. Tsuchiya, and K. Kishine, “10 Gb/s burst-mode driver circuit with on-chip bias switch for in-Vehicle optical networks,” IEICE Electronics Express, vol. 20, no. 14, 20230238 (6 pages), 2023. (DOIサイト).

  5. Y. Takahashi, D. Ito, M. Nakamura, A. Tsuchiya, T. Inoue, and K. Kishine, “Low-power regulated cascode CMOS transimpedance amplifier with local feedback circuit,” MDPI Electronics, vol. 11, no. 6, 854 (11 pages), March 2022. (DOIサイト).

  6. C. Monteiro, and Y. Takahashi, “Ultra-low-power FinFETs-based TPCA-PUF circuit for secure IoT devices,” MDPI Sensors, vol. 21, no. 24, 8302 (13 pages), Dec. 2021. (DOIサイト).

  7. C. Monteiro, and Y. Takahashi, “Low-power two-phase clocking adiabatic PUF circuit,” MDPI Electronics, vol. 10, no. 11, 1258 (15 pages), June 2021. (DOIサイト).

  8. Y. Takahashi, H. Koyasu, S.D. Kumar, and H. Thapliyal, “Quasi-adiabatic SRAM based slicon physical unclonable function,” Springer Nature Computer Science, vol. 1, no. 5, 237 (7 pages), Sept. 2020. (DOIサイト).

  9. H. Koyasu, and Y. Takahashi, “Performance and security evaluation of S-box using current-pass optimized symmetric pass gate adiabatic logic,” Springer Nature Computer Science, vol. 1, no. 4, 199 (9 pages), July 2020. (DOIサイト).

  10. 正木豊, 高橋康宏, “ダイオード接続を有する暗号用断熱的論理回路によるS-boxの相関電力解析耐性の評価,” 電気学会論文誌C, vol. 140, no. 2, pp. 187-193, Feb. 2020. (DOIサイト).

  11. X. Chen, and Y. Takahashi, “Floating active inductor based trans-impedance amplifier in 0.18 µm CMOS technology for optical applications,” MDPI Electronics, vol. 8, no. 12, 1547 (12 pages), Dec. 2019. (DOIサイト).

  12. M. Han, Y. Takahashi, and T. Sekine, “Non-floating and low-power adiabatic logic circuit,” IEICE Electronics Express, vol. 16, no. 17, 20190400 (6 pages), 2019. (DOIサイト).

  13. R. Wakemoto, Y. Takahashi, and T. Sekine, “FinFET 4T-SRAM operable at near-threshold region,” Electronics and Communications in Japan, vol. 102, no. 5, pp. 19-26, May 2019 (ニアスレッショルド電圧動作可能なFinFET による4T-SRAMの英訳論文). (DOIサイト).

  14. H. Koyasu, and Y. Takahashi, “Current pass optimized symmetric pass gate adiabatic logic for cryptographic circuits,” IPSJ Trans. System LSI Design Methodology, vol. 12, pp. 50-52, Feb. 2019. (DOIサイト).

  15. 分元涼太, 高橋康宏, 関根敏和, “ニアスレッショルド電圧動作可能なFinFET による4T-SRAM,” 電気学会論文誌C, vol. 139, no. 1, pp. 43-49, Jan. 2019. (DOIサイト).

  16. Y. Takahashi, T. Sekine, and M. Yokoyama, “Memristor-based pseudo-random pattern generator using relaxation oscillator,” IEEJ Trans. Electrical and Electronic Engineering, vol. 12, no. 6, pp. 963-964, Nov. 2017. (DOIサイト).

  17. K. Kato, Y. Takahashi, and T. Sekine, “Two phase clocked subthreshold adiabatic logic circuit,” IEICE Electronics Express, vol. 12, no. 20, 20150695 (12 pages), 2015. (DOIサイト).

  18. C. Monteiro, Y. Takahashi, and T. Sekine, “Low-power secure S-box circuit using charge-sharing symmetric adiabatic logic for advanced encryption standard hardware design,” IET Circuits, Devices & Systems, vol. 9, no. 5, pp. 362-269, Sept. 2015. (DOIサイト).

  19. Y. Takahashi, and H. Sato, “Low-power supply circuit using off-chip resonant circuit for adiabatic logic,” Electronics and Communications in Japan, vol. 98, no. 3, pp. 1-8, March, 2015 (オフチップ共振回路を用いた断熱的論理用低消費電力電源回路の英訳論文). (DOIサイト).

  20. Y. Takahashi, T. Sekine, and M. Yokoyama, “SPICE model of memristive device using Tukey window function,” IEICE Electronics Express, vol. 12, no. 5, 20150149 (7 pages), 2015. (DOIサイト).

  21. Y. Takahashi, N. A. Nayan, T. Sekine, and M. Yokoyama, “Low power adiabatic 9T static random access memory,” IET J. Engineering, 6 pages, June 2014. (DOIサイト).

  22. 前田登, 福井伸治, 直井孝, 市川浩司, 関根敏和, 高橋康宏, “n-1ポート測定による相反nポート回路のSパラメータ推定,” 電気情報通信学会論文誌C, vol. J96-C, no. 12, pp. 463-470, Dec. 2013. (IEICE SEARCHサイト).

  23. C. Monteiro, Y. Takahashi, and T. Sekine, “Low power bit-parallel cellular multiplier implementation in secure dual-rail adiabatic logic,” IACSIT International J. Modeling and Optimization, vol. 3, no. 4, pp. 329-332, Aug. 2013. (PDF File: 1040kB, MD5: 21acf0043a8f9d5950d58a9c5d9bba49)

  24. C. Monteiro, Y. Takahashi, and T. Sekine, “Charge-sharing symmetric adiabatic logic in countermeasure against power analysis attacks at cell level,” Microelectronics Journal, vol. 44, no. 6, pp. 496-503, June 2013. (PDF File: 1080kB, MD5: 50235b0f64f3cb6701cd655f80377f9a)

  25. 高橋康宏, 佐藤比佐夫, “オフチップ共振回路を用いた断熱的論理用低消費電力電源回路,” 電気学会論文誌C, vol. 133, no. 2, pp. 250-255, Feb. 2013. (J-STAGEサイト).

  26. N. A. Nayan, Y. Takahashi, and T. Sekine, “The ramped-step voltage in adiabatic logic circuits: analysis of parameters to further reduce power dissipation,” Research J. of Applied Sciences, Engineering and Technology, vol. 5, no. 1, pp. 114-117, Jan. 2013. (PDF File: 198kB, MD5: 6641909a7fac30e7c1d2903d17f403ca)

  27. N. A. Nayan, Y. Takahashi, and T. Sekine, “LSI implementation of a low-power 4×4-bit array two-phase clocked adiabatic static CMOS logic multiplier,” Microelectronics Journal, vol. 43, no. 4, pp. 244-249, April 2012. (PDF File: 1066kB, MD5: 12449d1c414163ed85b56ce09ffe4c74)

  28. N. A. Nayan, Y. Takahashi, and T. Sekine, “Low-power 4×4-bit array two-phase clocked adiabatic static CMOS logic multiplier,” Far East J. Electronics and Communications, vol. 5, no. 1, pp. 1-13, Sept. 2010. (PDF File: 2807kB, MD5: 473c9b7e73ff2bb65ccd930e9df253c6)

  29. N. A. Nayan, Y. Takahashi, and T. Sekine, “Two phase clocked adiabatic static CMOS logic and its logic family,” IEEK J. Semiconductor Technology and Science, vol. 10 no. 1, pp. 1-10, March 2010. (PDF File: 732kB, MD5: 36d8bd9f08527b4757df8392a8490fa2)

  30. Y. Takahashi, T. Sekine, and M. Yokoyama, “Two-phase clocked CMOS adiabatic logic,” Far East J. Electronics and Communications, vol. 3, no. 1, pp. 17-34, April 2009. (PDF File: 213kB, MD5: 53bb49e1e26f4d154f290ccfebce8ca1)

  31. Y. Takahashi, T. Sekine, and M. Yokoyama, “Design of a 16-bit non-pipelined RISC CPU in a two phase drive adiabatic dynamic CMOS logic,” IACSIT International J. Computer and Electrical Engineering, vol. 1, no. 1, pp. 71-76, April 2009. (PDF File: 375kB, MD5: cacc3035d8bd40a518cc75f21b6183c0)

  32. Y. Takahashi, T. Sekine, and M. Yokoyama, “VLSI implementation of a 4×4-bit multiplier in a two phase drive adiabatic dynamic CMOS logic,” IEICE Trans. Electron., vol. E90-C, no. 10, pp. 2002-2006, Oct. 2007. (PDF File: 431kB, MD5: 2b72bd6be4716f810f6e33d92b8cc555)

  33. Y. Takahashi, T. Sekine, and M. Yokoyama, “A 70 MHz multiplierless FIR Hilbert transformer in 0.35 µm standard CMOS library,” IEICE Trans. Fundamentals, vol. E90-A, no. 7, pp. 1376-1383, July 2007. (PDF File: 731kB, MD5: f6ad23b44824ea7f0e7a6f1fd92cac60)

  34. Y. Takahashi, K. Konta, K. Takahashi, M. Yokoyama, K. Shouno, and M. Mizunuma, “Carry propagation free adder/subtracter VLSI using adiabatic dynamic CMOS logic circuit technology,” IEICE Trans. Fundamentals, vol. E86-A, no. 6, pp. 1437-1444, June 2003. (PDF File: 562kB, MD5: 7c51886e80d23e8f231921bf1f9d7b94)

[査読付国際会議論文]
  1. T. Inoue, A. Tsuchiya, K. Kishine, Y. Takahashi, D. Ito, and M. Nakamura, “A 16-channel optical receiver circuit for a multicore fiber-based co-packaged optics module in a 65-nm CMOS chip,” Proc. IEEE ISCAS 2024, May 19-22, Singapore (Accepted).

  2. Y. Takahashi, and K. Tominaga, “A 0.06 mm2, 0.9 pJ/bit, 25 Gb/s optical receiver front-end module in 65 nm CMOS,” Proc. IEEE ICCCAS 2024, May 10-12, Xiamen, China (Accepted).

  3. K. Tominaga, and Y. Takahashi, “Low-power, 25-Gb/s active voltage current feedback transimpedance amplifier in 65-nm CMOS,” Proc. IEEE ICEIC 2024, pp. 120-123, Jan 28-31, Taipei, Taiwan.

  4. Y. Takahashi, D. Ito, M. Nakamura, A. Tsuchiya, T. Inoue, and K. Kishine, “A 25-Gb/s active feedback transimpedance amplifier in 65-nm CMOS,” Proc. IEEE ICEIC 2024, pp. 185-188, Jan 28-31, Taipei, Taiwan.

  5. T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “A 4×32-Gb/s VCSEL driver with adaptive feedforward equalization in 65-nm CMOS,” Proc. IEEE ICECS 2023, Dec. 4-7, Istanbul, Turkey.

  6. D. Ito, Y. Takahashi, and M. Nakamura, T. Inoue, A. Tsuchiya, K. Kishine, “4-ch 25-Gb/s small and low-power VCSEL driver circuit with unbalanced CML in 65-nm CMOS,” Proc. IEEE ISOCC 2023, pp. 13-14, Oct. 25-28, Jeju, Korea.

  7. A. Tsuchiya, T. Inoue, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “High-speed, low-power, and small-area optical receiver in 65-nm CMOS,” Proc. IEEE ASICON 2023, Oct. 24-27, Nanjing, China (Invited Paper).

  8. D. Ito, Y. Takahashi, and M. Nakamura, T. Inoue, A. Tsuchiya, K. Kishine, “Burst-mode driver circuit with on-chip bias tee for in-Vehicle optical networks,” Proc. IEEJ AVIC 2022, pp. 55-59, Oct. 31-Nov. 2, Hiroshima, Japan.

  9. T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “A burst-mode TIA with automatic power saving and DC wander reduction in 65-nm CMOS,” Proc. IEEE ICECS 2022, Oct. 24-26, Glasgrow, UK (Place virtually).

  10. A. Tsuchiya, T. Inoue, K. Kishine, Y. Takahashi, D. Ito, and M. Nakamura, “A small-area integration of optical receiver using multi-layer inductors and capacitor-under-pad,” Proc. IEEE MWSCAS 2022, Aug. 7-10, Fukuoka, Japan (Place virtually).

  11. T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “A 28-Gb/s VCSEL driver with variable output impedance in 65-nm CMOS,” Proc. IEEE MWSCAS 2022, Aug. 7-10, Fukuoka, Japan (Place virtually).

  12. A. Tsuchiya, T. Inoue, K. Kishine, Y. Takahashi, D. Ito, and M. Nakamura, “Capacitor under pad for small area integration of high-speed signal-to-differential amplifier,” Proc. IEEE ICEIC 2022, Feb. 6-9, Jeju, Korea (Place virtually).

  13. T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura, “A burst-mode TIA with adaptive response and stable operation for in-vehicle optical networks,” Proc. IEEE ICECS 2021, 6 pages, Nov. 28-Dec. 1, Dubai, UAE (Place virtually).

  14. K. Fukuta, Y. Takahashi, D. Ito, M. Nakamura, T. Jyo, M. Nagatani, Y. Shiratori, M. Mutoh, and H. Nosaka, “49.4-dBΩ 46.8-GHz multiple shunt-shunt feedback regulated cascode TIA in 0.25-µm InP-HBT process,” Proc. IEEE APMC 2020, pp. 477-479, Dec. 8-11, Hong Kong (Place virtually).

  15. K. Ogura, and Y. Takahashi, “Special Session: An adiabatic logic based silicon physical unclonable function,” Proc. IEEE ICCD 2020, Special Session, 4 pages, Oct. 18-21, Hartford, CT (Place virtually).

  16. X. Chen, and Y. Takahashi, “Design of 10 GHz CMOS optoelectronic receiver analog front-end in low-cost 0.18 µm CMOS technology,” Proc. IEEE ISPACS 2019, Session Analog Circuits and Their Applications, 2 pages, Dec. 3-6, Beitou, Taiwan.

  17. R. Ohashi, and Y. Takahashi, “Cryptographic adiabatic logic circuit with bootstrap structure,” Proc. IEEJ AVIC 2019, 5 pages, Oct. 28-30, Yilan, Taiwan.

  18. H. Koyasu, and Y. Takahashi, “Proposal and evaluation of low power AES circuit using adiabatic logic,” Proc. IEEJ AVIC 2019, 5 pages, Oct. 28-30, Yilan, Taiwan.

  19. T. Fukuura, and Y. Takahashi, “Shunt-series peaking transimpedance amplifier using active inductor with RGC configuration,” Proc. IEEJ AVIC 2019, 4 pages, Oct. 28-30, Yilan, Taiwan.

  20. R. Tagawa, and Y. Takahashi, “5.0 GHz, 54.7 dBΩ transimpedance amplifier with negative impedance converter,” Proc. IEEJ AVIC 2019, 5 pages, Oct. 28-30, Yilan, Taiwan.

  21. N. Maeda, S. Fukai, T. Sekine, and Y. Takahashi, “Indirect measurement method for S-parameters with measuring a minor number of ports,” Proc. IEEE EMC/SIPI 2019, pp. 223-228, July 22-26, New Orleans, LA.

  22. T. Sekine, and Y. Takahashi, “A new S-parameter measurement method with simultaneous calibration and measurement,” Proc. IEEE EMC/SIPI 2019, Poster session, July 22-26, New Orleans, LA.

  23. X. Chen, and Y. Takahashi, “Design of a CMOS broadband transimpedance amplifier with floating active inductor,” Proc. IEEE ISVLSI 2019, pp. 230-234, July 15-17, Miami, FL.

  24. H. Koyasu, and Y. Takahashi, “Evaluation of power analysis attacks on cryptographic circuit using adiabatic logic,” Proc. IEEE ISVLSI 2019, pp. 409-412, July 15-17, Miami, FL.

  25. Y. Takahashi, H. Koyasu, S.D. Kumar, and H. Thapliyal, “Post-layout simulation of quasi-adiabatic logic based physical unclonable function,” Proc. IEEE ISVLSI 2019, pp. 443-446, July 15-17, Miami, FL.

  26. T. Sekine, and Y. Takahashi, “An Indirect S-parameters Measurement Method of Chips in a Multi-chip Module through External Terminals,” Proc. PIERS 2019, June 17-20, Roma, Itary.

  27. T. Sekine, Y. Takahashi, N. Maeda, S. Fukui, Y. Ishikawa, and K. Oyama, “Estimation of S-parameters of chips in a multi-chip module by indirect measurement through external terminals,” Proc. IEICE EMC Sapporo & APEMC 2019, ThuPM2B.1, June 3-7, Sapporo, Japan.

  28. C. Monteiro, A. Maria, and Y. Takahashi, “Low power source biased semi-adiabatic logic circuit for IoT devices,” Proc. IEEE ISPACS 2018, pp. 43-47, Nov. 27-30, Okinawa, Japan.

  29. R. Ohashi, and Y. Takahashi, “A new adiabatic logic without charge sharing gate for cryptographic devices,” Proc. IEEE ISPACS 2018, pp. 117-121, Nov. 27-30, Okinawa, Japan.

  30. H. Koyasu, and Y. Takahashi, “Current pass optimized-symmetric pass gate adiabatic logic in countermeasures against power analysis attacks,” Proc. IEEE ISPACS 2018, pp. 122-126, Nov. 27-30, Okinawa, Japan.

  31. T. Fukuura, and Y. Takahashi, “5.6 GHz, 61.7 dBΩ transimpedance amplifier using active inductor in shunt and series peaking,” Proc. IEEE ISPACS 2018, pp. 392-395, Nov. 27-30, Okinawa, Japan.

  32. R. Tagawa, and Y. Takahashi, “5.3 GHz, 69.6 dBΩ transimpedance amplifier with negative impedance converter,” Proc. IEEE ISPACS 2018, pp. 396-400, Nov. 27-30, Okinawa, Japan.

  33. B. Da Costa, and Y. Takahashi, “8 GHz trans-impedance amplifier using floating active inductor,” Proc. IEEJ AVIC 2018, pp. 53-56, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  34. X. Chen, and Y. Takahashi, “Design and analysis of a 10 GHz trans-impedance amplifier using active inductor in 0.18 µm CMOS process technology,” Proc. IEEJ AVIC 2018, pp. 57-60, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  35. M. Han, Y. Takahashi, and T. Sekine, “A performance comparison of adiabatic logic circuits,” Proc. IEEJ AVIC 2018, pp. 149-152, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  36. R. Ito, Y. Takahashi, and T. Sekine, “Adiabatic FinFET 10T-SRAM with virtual ground concept,” Proc. IEEJ AVIC 2018, pp. 153-156, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  37. H. Matsumoto, Y. Takahashi, and T. Sekine, “Comparison of performance between different CMOS circuits using suspended-gate FET model,” Proc. IEEJ AVIC 2018, pp. 157-160, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  38. T. Tanaka, Y. Takahashi, and T. Sekine, “Adiabatic FinFET SRAM with drowsy cache,” Proc. IEEJ AVIC 2018, pp. 161-164, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  39. Y. Masaki, and Y. Takahashi, “Diode based adiabatic logic with feedback circuit in countermeasure against power analysis attacks,” Proc. IEEJ AVIC 2018, pp. 165-168, Oct. 31-Nov. 2, Chiang Mai, Thailand.

  40. X. Chen, T. Sekine, and Y. Takahashi, “Estimation of induced positions of external electromagnetic fields by the waveform at both ends of transmission line,” Proc. IEEE EMC/APEMC 2018, pp. 654-659, May 14-17, Singapore, Singapore.

  41. Y. Kojima, T. Sekine, Y. Takahashi, N. Maeda, S. Fukui, and Y. Ishikawa, “Partial and indirect non-reciprocal S-parameter measurement for (m+n)-port fixture with DUT,” Proc. IEEE EMC/APEMC 2018, pp. 713-717, May 14-17, Singapore, Singapore.

  42. X. Chen, T. Sekine, and Y. Takahashi, “Estimation of induced positions of external electromagnetic fields by the waveform at both ends of transmission line,” Proc. KIEES/IEICE KJMW 2017, Session Measurement Technique, Dec. 11-12, Tokyo, Japan.

  43. Y. Takahashi, T. Sekine, and M. Yokoyama, “A verification of resonant clock driver design for the IoT era,” Proc. IEEE IMPACT 2017, pp. 492-494, Oct. 24-27, Taipei, Taiwan.

  44. N. Maeda, S. Fukai, T. Sekine, and Y. Takahashi, “An indirect measurement method for S-Parameters which is based on reduction to eigenvalue problem,” Proc. IEEE EPEPS 2017, 4 pages, Oct. 15-18, San Jose, CA.

  45. Y. Kojima, T. Sekine, and Y. Takahashi, “Generalized indirect S-parameter measurement method of n-ports circuit using T-parameter of (m, n)-ports fixture,” Proc. IEEE ECCTD 2017, pp. 1-4, Sept. 4-6, Catania, Italy.

  46. Y. Takahashi, T. Sekine, and M. Han, “Operational amplifier based LC resonant circuit for adiabatic logic,” Proc. IEEE MIXDES 2017, pp. 110-113, June 22-24, Bydgoszcz, Poland.

  47. M. Han, Y. Takahashi, and T. Sekine, “Low power adiabatic logic based on 2PC2AL,” Proc. IEEE ICICDT 2017, pp. 1-4, May 23-25, Austin TX.

  48. Y. Matsushita, T. Sekine, and Y. Takahashi, “A modeling method of lossy transmission-line using step-response obtained by slow rising waveform,” Proc. PIERS 2017, May 22-25, St. Petersburg, Russia.

  49. Y. Kojima, T. Sekine, and Y. Takahashi, “Number of calibration loads and degree of freedom of fixture's T-parameter for indirect S-parameter estimation,” Proc. PIERS 2017, May 22-25, St. Petersburg, Russia.

  50. Y. Takahashi, T. Sekine, and M. Yokoyama, “Simulation and verification of active matrix organic light-emitting diode display driver using adiabatic switching principle,” Proc. IEEE IMPACT 2016, pp. 414-416, Oct. 26-28, Taipei, Taiwan.

  51. S. Ohno, Y. Takahashi, and T. Sekine, “Low power adiabatic cascade logic using FinFET,” Proc. IEEJ AVIC 2016, pp. 117-122, Aug. 24-26, Boston MA.

  52. N. Maeda, S. Fukui, T. Sekine, and Y. Takahashi, “An indirect measurement method for multiport S-Parameters with reduced number of measurements,” Proc. IEEE EMC 2016, pp. 108-113, July 25-29, Ottawa, Canada.

  53. M. Sakai, T. Sekine, and Y. Takahashi, “Investigation of wirelessly powered circuit for low-power adiabatic logic circuits,” Proc. IEEE APMC 2015, vol.2, pp. 1-3, Dec. 6-9, Nanjing, China.

  54. R. Sarmento, and Y. Takahashi, “Power saving analysis of step-down buck converter using adiabatic switching principle,” Proc. IEEE ISPACS 2015, pp. 346-350, Nov. 9-12, Bali, Indonesia.

  55. Y. Takahashi, “Vibration reliability characteristics of board-to-board connector pins,” Proc. IEEE IMPACT 2015, pp. 313-314, Oct. 21-23, Taipei, Taiwan.

  56. N. Maeda, S. Fukui, T. Sekine, and Y. Takahashi, “An improved estimation method of 4 port S-parameters with 2 port measurements,” Proc. IEEE ECCTD 2015, Session 16B: RF, 4 pages, Aug. 24-26, Trondheim, Norway.

  57. T. Matsubara, T. Sekine, and Y. Takahashi, “Simultaneous approximation method of attenuation and group delay characteristics for coupled resonators filter,” Proc. PIERS 2015, p. 1994, July 6-9, Prague, Czech Republic.

  58. S. Ohno, T. Sekine, and Y. Takahashi, “An estimation method for 2-port S-parameters using cable or jig with leakage,” Proc. PIERS 2015, p. 2006, July 6-9, Prague, Czech Republic.

  59. S. Ohno, T. Sekine, and Y. Takahashi, “An estimation method for 2-port S-parameters using 4-port connection circuit with leakage couplings,” Proc. IEICE/IEIE/ECTI ITC-CSCC 2015, June 29-July 2, Seoul, Korea.

  60. T. Matsubara, T. Sekine, and Y. Takahashi, “New approach for simultaneous approximation of attenuation and group delay characteristics for coupled resonators filter,” Proc. IEICE/IEIE/ECTI ITC-CSCC 2015, June 29-July 2, Seoul, Korea.

  61. K. Kato, Y. Takahashi, and T. Sekine, “A 4×4-bit multiplier LSI implementation of two phase clocking subthreshold adiabatic logic,” Proc. IEEE NEWCAS 2015, Session 8B: Digital Circuits and Architectures for Processing, 4 pages, June 7-10, Grenoble, France.

  62. S. Ohno, T. Sekine, and Y. Takahashi, “An estimation method for 2-port S-parameters using jig with leakage,” Proc. KIEES/IEICE KJMW 2014, Session TH_5B Characterization Techniques, 2 pages, Dec. 4-5, Suwon, Korea.

  63. C. Monteiro, Y. Takahashi, and T. Sekine, “Effectiveness of dual-rail CSSAL against power analysis attack under CMOS process variation,” Proc. IEEE APCCAS 2014, pp. 121-124, Nov. 17-20, Okinawa, Japan.

  64. K. Kato, Y. Takahashi, and T. Sekine, “Skey tolerance analysis and layout design of 4×4 multiplier using two phase clocking subthreshold adiabatic logic,” Proc. IEEE APCCAS 2014, pp. 495-498, Nov. 17-20, Okinawa, Japan.

  65. H. Ogata, Y. Takahashi, and T. Sekine, “Power dissipation analysis of memristor for low power integrated circuit applications,” Proc. IEEE APCCAS 2014, pp. 627-630, Nov. 17-20, Okinawa, Japan.

  66. Y. Takahashi, T. Sekine, and M. Yokoyama, “Memristor SPICE model with Tukey window function for stable analysis,” Proc. IEEE IMPACT-EMAP 2014, pp. 723-726, Oct. 22-24, Taipei, Taiwan.

  67. N. A. Nayan, A. M. Ikhsan, and Y. Takahashi, “Using ZigBee communication technology in a smart home wireless sensor network,” Proc. ICMTSET 2014, pp. 19-25, Sept. 10-11, Dubai, UAE.

  68. N. Maeda, S. Fukui, T. Sekine, and Y. Takahashi, “S-parameter estimation for a multiport connection and a multiport device with non-common ground,” Proc. EMC Europe 2014, pp. 838-843, Sept. 1-4, Gothenburg, Sweden.

  69. C. Monteiro, Y. Takahashi, and T. Sekine, “Process variation verification of low-power secure CSSAL AES S-box,” Proc. IEEE MWSCAS 2014, pp. 21-24, Aug. 3-6, College Station, TX.

  70. S. Ohno, T. Sekine, and Y. Takahashi, “An estimation method for S-parameters of 4-port circuit by 2-port measurements,” Proc. IEICE/IEIE/ECTI ITC-CSCC 2014, pp. 612-613, July 1-4, Phuket, Thailand.

  71. T. Matsubara, T. Sekine, and Y. Takahashi, “Rational function approximation of non-minimum phase filter characteristics by vector fitting,” Proc. IEICE/IEIE/ECTI ITC-CSCC 2014, pp. 625-626, July 1-4, Phuket, Thailand.

  72. K. Kato, Y. Takahashi, and T. Sekine, “Two phase clocking subthreshold adiabatic logic,” Proc. IEEE ISCAS 2014, pp. 598-601, June 1-5, Melbourne, Australia.

  73. C. Monteiro, Y. Takahashi, and T. Sekine, “An LSI implementation of a bit-parallel cellular multiplier over GF(24) using secure charge-sharing symmetric adiabatic logic,” Proc. IEEE ISCAS 2014, pp. 826-829, June 1-5, Melbourne, Australia.

  74. N. Maeda, S. Fukui, T. Murakami, T. Naito, T. Sekine, and Y. Takahashi, “S-parameter estimation for the components in automotive high-voltage units with partial measurements,” Proc. IEICE EMC Tokyo 2014, pp. 461-464, May 12-16, Tokyo, Japan.

  75. N. Maeda, S. Fukai, T. Naoi, K. Ichikawa, T. Sekine, and Y. Takahashi, “Mathematics of 2r-port S-parameter estimation by the r-port measurements,” Proc. IEEE EDAPS 2013, pp. 270-273, Dec. 12-15, Nara, Japan.

  76. T. Sekine and Y. Takahashi, “Investigation of metamaterial with extended constitutive relationships by using transmission line circuit theory,” Proc. IEEE APMC 2013, pp. 1212-1214, Nov. 5-8, Seoul, Korea.

  77. Y. Takahashi, H. Sato, and T. Sekine, “Design and reliability analysis of voltage reference circuit in 180 nm CMOS process,” Proc. IEEE IMPACT-IAAC 2013, pp. 480-483, Oct. 22-25, Taipei, Taiwan.

  78. C. Monteiro, Y. Takahashi, and T. Sekine, “Low power secure CSSAL bit-parallel multiplier over GF(24) in 0.18 µm CMOS technology,” Proc. IEEE ECCTD 2013, Digital Circuit Design (USB), 4 pages, Sept. 8-12, Dresden, Germany.

  79. N. Maeda, S. Fukai, K. Ichikawa, K. Sakurai, T. Sekine, and Y. Takahashi, “An estimation method for the 3 Port S-parameters with 1 port measurements,” Proc. IEEE ECCTD 2013, Analog RF Circuits & Design (USB), 4 pages, Sept. 8-12, Dresden, Germany.

  80. N. Maeda, S. Fukai, K. Ichikawa, K. Sakurai, T. Sekine, and Y. Takahashi, “An estimation method for the n port S parameters with n-1 port measurements,” Proc. EMC Europe 2013, pp. 348-353, Sept. 2-6, Brugge, Belgium.

  81. C. Monteiro, Y. Takahashi, and T. Sekine, “Low power bit-parallel cellular multiplier implementation in secure dual-rail adiabatic logic,” Proc. IACSIT ICCSS 2013, pp. 329-332, Aug. 10-11, Barcelona, Spain.

  82. C. Monteiro, Y. Takahashi, and T. Sekine, “Robust secure charge-sharing symmetric adiabatic logic against side-channel attacks,” Proc. IEEE TSP 2013, pp. 732-736, July 2-4, Roma, Italy.

  83. R. Date, T. Sekine, and Y. Takahashi, “A method to estimate the position of the noise source on the transmission line that is induced by external electromagnetic field,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2013, pp. 212-213, June 30-July 3, Yeosu, Korea.

  84. L. Zhao, T. Sekine, and Y. Takahashi, “Low power CMOS logic circuits using quasi adiabatic switching principle,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2013, pp. 285-286, June 30-July 3, Yeosu, Korea.

  85. C. Monteiro, Y. Takahashi, and T. Sekine, “Low power secure AES S-box using adiabatic logic circuit,” Proc. IEEE FTFC 2013, Regular session 3 (USB), 4 pages, June 20-21, Paris, France.

  86. C. Monteiro, Y. Takahashi, and T. Sekine, “DPA resistance of charge-sharing symmetric adiabatic logic,” Proc. IEEE ISCAS 2013, pp. 2581-2584, May 19-23, Beijing, China.

  87. C. Monteiro, Y. Takahashi, and T. Sekine, “Low power bit-parallel multiplier over GF(24) using CSSAL for cryptographic hardware implementation,” Proc. IEEE Coolchips XVI, Poster session, 1 page, April 17-19, Yokohama, Japan.

  88. C. Monteiro, Y. Takahashi, and T. Sekine, “Secure charge-sharing symmetric adiabatic logic implementation in AES S-Box architecture for smart card,” Proc. IEEE ICEIC 2013, pp. 304-305, Jan. 30-Feb. 2, Bali, Indonesia.

  89. K. Murasawa, T. Sekine, and Y. Takahashi, “Investigation of the methods of improving group delay characteristic using complex transmission zeros for coupled resonators filter,” Proc. IEEE APMC 2012, pp. 670-672, Dec. 4-7, Kaohsiung, Taiwan.

  90. Y. Urata, Y. Takahashi, T. Sekine, and N. A. Nayan, “A low-power sense amplifier for adiabatic memory using memristor,” Proc. IEEE APCCAS 2012, pp. 112-115, Dec. 2-5, Kaohsiung, Taiwan.

  91. Y. Takahashi, Z. Luo, T. Sekine, N. A. Nayan, and M. Yokoyama, “2PCDAL: Two-phase clocking dual-rail adiabatic logic,” Proc. IEEE APCCAS 2012, pp. 124-127, Dec. 2-5, Kaohsiung, Taiwan.

  92. Y. Takahashi, T. Sekine, N. A. Nayan, and M. Yokoyama, “Power-saving analysis of adiabatic logic in subthreshold region,” Proc. IEEE ISPACS 2012, pp. 590-594, Nov. 4-7, Tamsui, Taiwan.

  93. C. Monteiro, Y. Takahashi, and T. Sekine, “A comparison of cellular multiplier cell using secure adiabatic logics,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2012, E-M2-03 (CD-ROM), 4 pages, July 15-18, Hokkaido, Japan.

  94. Y. Urata, Y. Takahashi, and T. Sekine, “A sense amplifier for memristor CAM with adiabatic driving,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2012, P-T2-03 (CD-ROM), 4 pages, July 15-18, Hokkaido, Japan.

  95. Z. Luo, Y. Takahashi, and T. Sekine, “Mod-4N2P2D: Diode-based dual-rail adiabatic logic with sinusoidal power supply,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2012, P-T2-08 (CD-ROM), 3 pages, July 15-18, Hokkaido, Japan.

  96. T. Sekine, Y. Kawasaki, and Y. Takahashi, “Consideration of metamaterial transmission line with extended constitutive relationships by using circuit theory,” Proc. PIERS 2012, March 27-30, Kuala Lumpur, Malaysia.

  97. H. Komiyama, Y. Takahashi, and T. Sekine, “Low-power adiabatic SRAM,” Proc. IEEE ISPACS 2011, 4 pages (DVD), Dec. 7-9, Chiang-Mai, Thailand. (PDF File: 173kB, MD5: 7ea608bfaeae9914572d129f8049cd57) [copyright notice]

  98. C. Monteiro, Y. Takahashi, and T. Sekine, “Resistance against power analysis attacks on adiabatic dynamic and adiabatic differential logics for smart card,” Proc. IEEE ISPACS 2011, 5 pages (DVD), Dec. 7-9, Chiang-Mai, Thailand. (PDF File: 346kB, MD5: 96d5fb7a9a0744d0169e772d304505cc) [copyright notice]

  99. Y. Takahashi, Y. Urata, T. Sekine, N. A. Nayan, and M. Yokoyama, “Memristor 1T-SRAM with adiabatic driving,” Proc. IEEE IEDMS 2011, 4 pages (USB memory), Nov. 17-18, Taipei, Taiwan. (PDF File: 164kB, MD5: d0f609952692367497ee71b2c6dbd9dc) [copyright notice]

  100. Y. Takahashi, T. Sekine, and M. Yokoyama, “Improved local horizontal and vertical common subexpression elimination method for constant multiple multiplication,” Proc. Workshop SASIMI 2010, pp. 48-53, Oct. 18-19, Taipei, Taiwan. (PDF File: 192kB, MD5: b7157680f379d9769a1d4b41ceb0bf5c)

  101. N. A. Nayan, Y. Takahashi, and T. Sekine, “4×4-bit array two phase clock adiabatic static CMOS logic multiplier with new XOR,” Proc. IEEE/IFIP VLSI SoC 2010, pp. 364-368, Sept. 27-29, Madrid, Spain. (PDF File: 432kB, MD5: 616ab5f8ef220bcf876b8a0c4499756a) [copyright notice]

  102. Y. Tomita, Y. Takahashi, and T. Sekine, “Adiabatic array logic,” Proc. IEEE ICSES 2010, pp. 269-272, Sept. 7-10, Gliwice, Poland. (PDF File: 171kB, MD5: b2e8704eb2eb69ce339db1a048263d7d) [copyright notice]

  103. N. A. Nayan, Y. Takahashi, and T. Sekine, “XOR evaluation for 4×4-bit array two phase clocked adiabatic static CMOS logic multiplier,” Proc. IEEE MWSCAS 2010, pp. 825-828, Aug. 1-4, Seattle, WA. (PDF File: 382kB, MD5: a061e0e1c1f469b6822bc478316f49f8) [copyright notice]

  104. N. A. Nayan, Y. Takahashi, and T. Sekine, “Low-power 4×4-bit array two-phase clocked adiabatic static CMOS logic multiplier,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2010, pp. 296-299, July 4-7, Pattaya, Thailand. (PDF File: 443kB, MD5: a60b3abb2376ad22959063b23d05d09a)

  105. Y. Takahashi, T. Sekine, and M. Yokoyama, “A comparison of adiabatic logic as a countermeasures against power analysis attacks,” Proc. IEEE ICSSE 2010, pp. 615-618, July 1-3, Taipei, Taiwan. (PDF File: 144kB, MD5: a229a5bd247e60db2763a20fb7b13c28) [copyright notice]

  106. N. A. Nayan, Y. Takahashi, and T. Sekine, “4×4-bit array multiplier using two phase clocked adiabatic static CMOS logic,” Proc. IEEE Coolchips XIII, p. 193, April 14-16, Yokohama, Japan. (PDF File: 98kB, MD5: 71e82c1f88143a6ce6b94c67605310e1) [copyright notice]

  107. K. Kato, Y. Takahashi, and T. Sekine, “A new horizontal and vertical common subexpression elimination method for multiple constant multiplication,” Proc. IEEE ICECS 2009, pp. 124-127, Dec. 13-16, Hammamet, Tunisia. (PDF File: 204kB, MD5: 969625bf7fd2b8747fd16a7a2bdb288c) [copyright notice]

  108. N. A. Nayan, Y. Takahashi, and T. Sekine, “Fundamental logics based on two phase clocked adiabatic static CMOS logic,” Proc. IEEE ICECS 2009, pp. 503-506, Dec. 13-16, Hammamet, Tunisia. (PDF File: 264kB, MD5: 6cd3ead7ef61c485db069cf682840266) [copyright notice]

  109. N. A. Nayan, Y. Takahashi, and T. Sekine, “4-bit ripple carry adder using two phase clocked adiabatic static CMOS logic,” Proc. IEEE TENCON 2009, THU4.P.16 (CD-ROM), 6 pages, Nov. 23-26, Singapore, Singapore. (PDF File: 812kB, MD5: 7f222a549d9ac12403fb3e523adf5a67) [copyright notice]

  110. N. A. Nayan, Y. Takahashi, and T. Sekine, “Two phase clocked adiabatic static CMOS logic,” Proc. IEEE ISSoC 2009, pp. 83-86, Oct. 5-7, Tampere, Finland. (PDF File: 368kB, MD5: 55297ee7907ace8c2bd49d717819b726) [copyright notice]

  111. T. Hirose, T. Sekine, and Y. Takahashi, “Modeling of dielectric dispersive characteristics and the step response of transmission line,” Proc. IEEE CEEM 2009, pp. 34-37, Sept. 19-20, Xi'an, China.

  112. T. Fukatsu, T. Sekine, and Y. Takahashi, “A comparison of incorporation of dissipation in the FDTD analysis of transmission lines using stability analysis,” Proc. IEEE CEEM 2009, pp. 58-61, Sept. 19-20, Xi'an, China.

  113. N. A. Nayan, Y. Takahashi, and T. Sekine, “4-bit ripple carry adder of two-phase clocked adiabatic static CMOS Logic: A comparison with static CMOS,” Proc. IEEE ECCTD 2009, pp. 65-68, Aug. 23-27, Antalya, Turkey. (PDF File: 270kB, MD5: 47ee59862cdf4d2af01a56cd7d3ecb44) [copyright notice]

  114. Y. Takahashi, S. Nagano, N. A. Nayan, T. Sekine, and M. Yokoyama, “On chip LC resonator circuit using an active inductor for adiabatic logic,” Proc. IEEE MWSCAS 2009, pp. 1171-1174, Aug. 2-5, Cancun, Mexico. (PDF File: 200kB, MD5: 09931e2ed1deccb38b37ac2b4753ae3a) [copyright notice]

  115. N. A. Nayan, Y. Takahashi, and T. Sekine, “Adiabatic logic versus CMOS for low power applications,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2009, pp. 302-305, July 5-8, Jeju, Korea. (PDF File: 268kB, MD5: 65396f57c6d5a5389d5d303403181404)

  116. T. Sekine, Y. Takahashi, and T. Nakamura, “Transparent and double-sided wave absorber with specified reflection and transmission coefficients,” Proc. EMC Europe Workshop 2009, Session 4: Materials and Measurements (CD-ROM), 3 pages, June 11-12, Athens, Greece.

  117. K. Watanabe, T. Sekine, and Y. Takahashi, “A FDTD method for nonuniform transmission line analysis using Yee's-lattice and wavelet expansion,” Proc. IEEE MTTS IMWS 2009, pp. 83-86, Feb. 19-20, Guadalajara, Mexico.

  118. Y. Takahashi, T. Sekine, and M. Yokoyama, “Theoretical analysis of power clock generator based on the switched capacitor regulator for adiabatic CMOS logic,” Proc. IEEE EAMTA/CAMTA 2008, pp. 17-22, Sept. 18-19, Buenos Aires, Argentine. (PDF File: 356kB, MD5: d9f3af7d5ce5d39cbc667c50e814eea3) [copyright notice]

  119. Y. Takahashi, T. Sekine, and M. Yokoyama, “A comparison of multiplierless multiple constant multiplication using common subexpression elimination method,” Proc. IEEE MWSCAS 2008, pp. 298-301, Aug. 10-13, Knoxville, TN. (PDF File: 136kB, MD5: 2a81217f1e4ab5dfa5e5548055c94592) [copyright notice]

  120. K. Watanabe, T. Sekine, Y. Takahashi, and K. Kobayashi, “Analysis of nonuniform transmission line equations using Yee-lattice and wavelet expansion,” Proc. IEICE PPEMC 2008, pp. 93-94, May 15-16, Tokyo, Japan.

  121. Y. Takahashi, D. Tsuzuki, T. Sekine, and M. Yokoyama, “Design of a 16-bit RISC CPU core in a two phase drive adiabatic dynamic CMOS logic,” Proc. IEEE TENCON 2007, WeSC-O2.1 (CD-ROM), 4 pages, Oct. 30-Nov. 2, Taipei, Taiwan. (PDF File: 181kB, MD5: 14dec69f005db35f22b3e2703225cf85) [copyright notice]

  122. T. Sekine, D. Ichikawa, Y. Takahashi, and K. Kobayashi, “A lossy interconnect modeling in both the time and the frequency domain using a synthesis method of lossy nonuniform transmission line,” Proc. EMC Zurich 2007, pp. 65-68, Sep. 24-28, Munich, Germany.

  123. Y. Takahashi, T. Sekine, and M. Yokoyama, “A 4-bit multiplier using a two phase drive adiabatic dynamic CMOS logic,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2007, vol. 1, pp. 205-206, July 8-11, Busan, Korea. (PDF File: 137kB, MD5: 000480685ab75b89597c9255b6b7ab67)

  124. D. Ichikawa, T. Sekine, Y. Takahashi, and K. Kobayashi, “A time domain modeling of lossy interconnect using nonuniform transmission line with frequency independent distributed parameters,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2007, vol. 3, pp. 1053-1054, July 8-11, Busan, Korea.

  125. T. Sekine, N. Ichimura, and Y. Takahashi, and K. Kobayashi, “Double-sided and transparent two-layer wave absorber with specified reflection and transmission coefficients,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2007, vol. 1, pp. 1-2, July 8-11, Busan, Korea (Invited Paper).

  126. T. Sekine, D. Ichikawa, and Y. Takahashi, and K. Kobayashi, “A lossy interconnect modeling by S parameters measurements using a synthesis method of lossy nonuniform transmission line,” Proc. EMC Europe Workshop 2007, pp. 116-121, June 14-15, Paris, France.

  127. Y. Takahashi, Y. Fukuta, T. Sekine, and M. Yokoyama, “2PADCL: Two phase drive adiabatic dynamic CMOS logic,” Proc. IEEE APCCAS 2006, pp. 1486-1489, Dec. 4-7, Singapore, Singapore. (PDF File: 208kB, MD5: 2050e912f4730769a83b9df9ae72e7d7) [copyright notice]

  128. T. Sekine, Y. Horibe, Y. Takahashi, and K. Kobayashi, “An extended method of characteristics for lossy nonuniform transmission line analysis and its numerical stability,” Proc. EMC Europe 2006, vol. 2, pp. 1129-1134, Sept. 4-8, Barcelona, Spain.

  129. Y. Takahashi, Y. Fukuta, T. Sekine, and M. Yokoyama, “2PADCL: Two phase drive adiabatic dynamic CMOS logic,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2006, vol. 1, pp. 41-44, July 10-13, Chiang-Mai, Thailand. (PDF File: 163kB, MD5: 4a7fb9ff3bfb35a603a1d76017d3563a)

  130. Y. Takahashi and M. Yokoyama, “A 70MHz multiplierless FIR Hilbert transformer in 0.35 µm CMOS standard-cell technology,” Circuit Exhibition Catalogue of ESSCIRC 2005, p. 25, Sept. 12-16, Grenoble France. (PDF File: 207kB, Poster, MD5: 26cf9d89612440fab49abf5c2d2a7b44)

  131. T. Sekine, Y. Takahashi, and K. Kobayashi, “A method of the time-domain synthesis for lossy nonuniform transmission line using reflection and transmission response at both ends,” Proc. IEEE MWSCAS 2005, pp. 255-258, Aug. 7-10, Cincinnati, OH.

  132. Y. Takahashi, T. Sekine, and M. Yokoyama, “A 70 MHz multiplierless FIR Hilbert transformer in 0.35 µm CMOS,” Proc. IEICE/IEEK/ECTI ITC-CSCC 2005, vol. 3, pp. 947-948, July 4-7, Jeju, Korea. (PDF File: 139kB, MD5: ed19fe56e4c38c19331467c17116d63a)

  133. Y. Takahashi and M. Yokoyama, “New cost-effective VLSI implementation of multiplierless FIR filter using common subexpression elimination,” Proc. IEEE ISCAS 2005, pp. 1445-1448, May 23-26, Kobe, Japan. (PDF File: 139kB, MD5: 950f782e04314bde5023e67059a0405e) [copyright notice]

  134. Y. Takahashi, K. Takahashi, and M. Yokoyama, “Synthesis of multiplierless FIR filter by efficient sharing of horizontal and vertical common subexpression elimination,” Proc. IEICE/IEEK ITC-CSCC 2004, pp. 7C2L-4-1 - 7C2L-4-4, July 6-8, Matsushima, Japan. (PDF File: 127kB, MD5: 7e36644a9f532204596c6b5df30399c9)

  135. T. Matsuda, Y. Takahashi, K. Takahashi, M. Yokoyama, and M. Mizunuma, “A matched-filterless spread spectrum communication system,” Proc. IEICE/IEEK ITC-CSCC 2004, pp. 6A2L-4-1 - 6A2L-4-4, July 6-8, Matsushima, Japan. (PDF File: 116kB, MD5: 9313de2d6bb97b9c668af42dd61323ad)

  136. D. Miura, Y. Takahashi, K. Takahashi, M. Yokoyama, and M. Mizunuma, “CMOS analog matched filter for DS-CDMA system based on operational amplifier,” Proc. IEICE/IEEK ITC-CSCC 2004, pp. 8C2L-1-1 - 8C2L1-4, July 6-8, Matsushima, Japan. (PDF File: 1424kB, MD5: 16a1d87cafcd20d442ca0aab5ea256f8)

  137. Y. Takahashi, K. Takahashi, K. Shouno, and M. Yokoyama, “A partial local search algorithm for the design of multiplierless FIR digital filters with CSD coefficients and its FPGA implementation,” Proc. IEEE ISPACS 2003, pp. 757-762, Dec. 7-10, Awaji-island, Japan. (PDF File: 368kB, MD5: 6e9cb154f2d890d3c1eea7018ccab1f8) [copyright notice]

  138. Y. Takahashi, M. Yokoyama, K. Shouno, M. Mizunuma, and K. Takahashi, “A 1bit carry propagate free adder/subtracter VLSI using adiabatic dynamic CMOS logic circuit technology,” Proc. IEICE/IEEK ITC-CSCC 2002, pp. 349-352, July 16-19, Phuket, Thailand. (PDF File: 226kB, MD5: 1bbde497c3233d36bd8fc526aad84161)

  139. Y. Takahashi, T. Kitajima, and K. Takahashi, “Hilbert transformer design using CSD FIR filter,” Proc. IEICE/IEEK ITC-CSCC 2001, pp. 921-924, July 10-12, Tokushima Japan. (PDF File: 104kB, MD5: d1b3621261ce99297c54edffcfd57614)

[国内会議論文]
  1. 柴田まりな, 高橋康宏, “断熱的論理回路へのDickson整流器の導入に関する検討,” 2024年電気学会電子回路研究会, vol. ECT-24, pp. 53-56 (ECT-24-021), March 2024.

  2. 岩田隆誠, 高橋康宏, “広帯域で低雑音なRGC-TIAの設計,” 2024年電気学会電子回路研究会, vol. ECT-24, pp. 57-60 (ECT-24-022), March 2024.

  3. 冨永晃司, 高橋康宏, “65 nm CMOS技術を用いたAVCF型低消費電力TIA,” 2023年電気学会電子回路研究会, vol. ECT-23, pp. 31-35 (ECT-23-059), Nov. 2023.

  4. 高橋康宏, “メモリスタSPICEモデルの違いによるカオス回路のシミュレーション比較,” 信学技報, vol. 123, no. 203, NLP2023-29, pp. 1-4, Oct. 2023.

  5. 高橋康宏, 伊藤大輔, 中村 誠, 土谷亮, 井上敏之, 岸根桂路, “65-nm CMOSプロセスを用いた局部帰還構成RGC-TIA,” 2022年電気学会電子回路研究会, vol. ECT-22, pp. 51-55 (ECT-22-032), June 2022.

  6. 高橋康宏, 伊藤大輔, 中村 誠, 土谷亮, 井上敏之, 岸根桂路, “局部帰還を有する多段RGC-TIA回路の一設計,” 2022年電子情報通信学会総合大会講演論文集 (基礎・境界/NOLTA講演論文集), vol.2022, no.1, p. 4 (A-1-4), March 2022.

  7. 伊藤大輔, 高橋康宏, 中村 誠, 井上敏之, 土谷亮, 岸根桂路, “オンチップバイアス Tを用いた光パケット通信用バーストモードドライバ回路,” 2022年電子情報通信学会総合大会講演論文集 (基礎・境界/NOLTA講演論文集), vol. 2022, no.1, p. 7 (A-1-7), March 2022.

  8. 井上敏之, 土谷亮, 岸根桂路, 伊藤大輔, 高橋康宏, 中村 誠, 井上敏之, 土谷亮, 岸根桂路, “25-Gb/s出力インピーダンス可変レーザドライバ回路,” 2022年電子情報通信学会総合大会講演論文集 (基礎・境界/NOLTA講演論文集), vol. 2022, no. 1, p. 8 (A-1-8), March 2022.

  9. 土谷亮, 井上敏之, 高橋康宏, 伊藤大輔, 岸根桂路, 中村 誠, “伝送線路で接続された光通信用トランスインピーダンスアンプの入力インピーダンスが波形に与える影響,” 2022年電子情報通信学会総合大会講演論文集 (エレクトロニクス講演論文), vol. 2022, no. 2, p. 48 (C-12-10), March 2022.

  10. 福浦拓実, 高橋康宏, “アクティブインダクタを用いたRGC TIAの設計と検討,” 2021年電気学会電子回路研究会, vol. ECT-21, pp. 1-4 (ECT-21-090), Dec. 2021.

  11. 劉家銘, 高橋康宏, “断熱的論理によるSRAM-PUFのシミュレーションによる評価,” 2021年電気学会電子回路研究会, vol. ECT-21, pp. 53-57 (ECT-21-076), Dec. 2021.

  12. 奥田一星, 高橋康宏, “QUALPUF構造に基づく断熱的PUF回路,” 2021年電気学会電子回路研究会, vol. ECT-21, pp. 59-62 (ECT-21-077), Dec. 2021.

  13. 伊藤凛太郎, 高橋康宏, “バーチャルグランド構造を有する断熱的Fin-FET 10T-SRAMの評価,” 2021年電気学会電子回路研究会, vol. ECT-21, pp. 63-67 (ECT-21-078), Dec. 2021.

  14. 高橋康宏, 大橋遼介, “ブートストラップ構造を有する断熱的暗号論理回路の耐性評価,” 2020年電気学会電子回路研究会, vol. ECT-20, pp. 1-6 (ECT-20-075), Dec. 2020.

  15. 子安博貴, 高橋康宏, “断熱的論理によるガロア体乗算器の設計と評価,” 2020年電気学会電子回路研究会, vol. ECT-20, pp.7-12 (ECT-20-076), Dec. 2020.

  16. 小椋鉱平, 高橋康宏, “断熱的論理によるSRAM PUFのポストレイアウトシミュレーション評価,” 2020年電気学会電子回路研究会, vol. ECT-20, pp. 13-17 (ECT-20-077), Dec. 2020.

  17. 関根敏和, 高橋康宏, 前田登, 福井伸治, 石川靖之, 大山航, “校正と測定を同時に行うSパラメータ測定法,” 信学技報, vol. 119, no. 346, MW2019-118, pp. 1-4, Dec. 2019.

  18. 前田登, 福井伸治, 関根敏和, 高橋康宏, “再帰的手順に基づくSパラメータの間接測定法,” 信学技報, vol. 119, no. 346, MW2019-119, pp. 5-10, Dec. 2019.

  19. 関根敏和, 高橋康宏, “共振器を用いる負群遅延回路の能動化の基礎検討,” 信学技報, vol. 119, no. 237, CAS2019-27, pp. 23-27, Oct. 2019.

  20. 高橋康宏, 子安博貴, “断熱的論理によるSRAM PUFのポストレイアウトシミュレーション評価,” 2019年電気学会電子回路研究会, vol. ECT-19, pp. 47-50 (ECT-19-058), Sept. 2019.

  21. 一海智大, 関根敏和, 高橋康宏, “不均一線路を用いる負群遅延回路,” 信学技報, vol. 119, no. 12, MW2019-5, pp. 21-26, April 2019.

  22. 関根敏和, 高橋康宏, “DUTと既知負荷を異なるポートに接続するSパラメータの部分測定および間接測定,” 信学技報, vol. 118, no. 403, MW2018-138, pp. 13-16, Jan. 2019.

  23. 前田登, 福井伸治, 関根敏和, 高橋康宏, “相反回路の少数ポート測定によるSパラメータ間接測定法,” 信学技報, vol. 118, no. 363, EMCJ2018-90, pp. 19-23, Dec. 2018.

  24. 関根敏和, 高橋康宏, 前田登, 福井伸治, 石川靖之, 大山航, “アナログICとその電源ICからなる回路のためのSパラメータ測定法,” 信学技報, vol. 118, no. 363, EMCJ2018-92, pp. 51-56, Dec. 2018.

  25. 子安博貴, 高橋康宏, “断熱的論理回路による暗号回路への電力解析攻撃による評価,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 1-4 (ECT-18-061), Oct. 2018.

  26. 大橋遼介, 高橋康宏, “ブートストラップ構造を有する断熱的暗号用論理回路,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 5-10 (ECT-18-062), Oct. 2018.

  27. 正木豊, 高橋康宏, “ダイオードを用いた断熱的論理回路で構成したS-Boxの特性評価,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 11-14 (ECT-18-063), Oct. 2018.

  28. 西脇友崇, 高橋康宏, 関根敏和, “断熱的可逆論理回路の一提案,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 15-19 (ECT-18-064), Oct. 2018.

  29. 田中友貴, 高橋康宏, 関根敏和, “DrowsyキャッシュによるFinFET SRAMの性能検証,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 21-24 (ECT-18-065), Oct. 2018.

  30. 伊藤凛太郎, 高橋康宏, 関根敏和, “プロセスばらつきを考慮した仮想接地構造を有する断熱的10T SRAMの評価,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 25-29 (ECT-18-066), Oct. 2018.

  31. 福浦拓実, 高橋康宏, “RGC構成によるアクティブインダクタを用いた並列直列ピーキングTIA,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 39-42 (ECT-18-069), Oct. 2018.

  32. 田川諒, 高橋康宏, “CMOS負性インピーダンス回路によるトランスインピーダンスアンプの帯域幅拡張,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 43-46 (ECT-18-070), Oct. 2018.

  33. 松本明樹, 高橋康宏, “容量を用いたSuspended-Gate FETのモデル化の検証,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 83-86 (ECT-18-078), Oct. 2018.

  34. 関根敏和, 高橋康宏, “(m+n)ポートの部分測定とDUTの間接測定によるSパラメータ推定の基礎理論,” 2018年電子情報通信学会ソサイエティ大会講演論文集, vol. 2018, pp. ***-***, (BS-3-2), Sept. 2018.

  35. 関根敏和, 高橋康宏, “修正ベクターフィッティングとその減衰と群遅延の同時特性近似への応用,” 信学技報, vol. 118, no. 104, MW2018-20, pp. 13-18, June 2018.

  36. 福浦拓実, 高橋康宏, “アクティブインダクタを用いた並列直列ピーキング回路によるトランスインピーダンスアンプの帯域拡張,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 1-4 (ECT-18-010), March 2018.

  37. 田川諒, 高橋康宏, “Linvill型負性インピーダンス回路によるトランスインピーダンス・アンプの帯域幅拡張,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 5-8 (ECT-18-011), March 2018.

  38. 韓梅, 高橋康宏, 関根敏和, “A comparison of energy dissipation of 4-bit adiabatic multiplier,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 45-48 (ECT-18-019), March 2018.

  39. B. Da Costa, 高橋康宏, “A design of trans-impedance amplifier using negative impedance converter,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 49-52 (ECT-18-020), March 2018.

  40. 陳翔宇, 高橋康宏, “Small-signal analysis of trans-impedance amplifier using Mahmoudi-Salama's floating active inductor,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 53-56 (ECT-18-021), March 2018.

  41. 子安博貴, 高橋康宏, “電流経路均一化による暗号用断熱的論理回路の提案,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 121-124 (ECT-18-035), March 2018.

  42. 浅野雄作, 高橋康宏, “ダイオードを基にした断熱的論理による暗号用論理回路の提案,” 2018年電気学会電子回路研究会, vol. ECT-18, pp. 125-128 (ECT-18-036), March 2018.

  43. 前田登, 福井伸治, 関根敏和, 高橋康宏, “測定値に内在する固有値関係を利用したSパラメータ間接測定法,” 信学技報, vol. 117, no. 357, EMCJ2017-76, pp. 1-6, Dec. 2017.

  44. 小島侑也, 関根敏和, 高橋康宏, “(1, 3)ポート治具を用いる2ポート回路の間接Sパラメータ測定法,” 信学技報, vol. 117, no. 357, EMCJ2017-78, pp. 7-12, Dec. 2017.

  45. 松下優斗, 関根敏和, 高橋康宏, “ベクターフィッテングを用いた損失伝送線路の入出力特性近似,” 信学技報, vol. 117, no. 357, EMCJ2017-78 pp. 13-18, Dec. 2017.

  46. M Han, Y. Takahashi and T. Sekine, “Evaluation of 4-bit array multiplier of adiabatic logic family,” 信学技報, vol. 117, no. 343, CAS2017-68, pp. 27-30, Dec. 2017.

  47. 田中友貴, 高橋康宏, 関根敏和, “Drowsyキャッシュによる断熱的FinFET SRAMのばらつきの検証,” 信学技報, vol. 117, no. 343, CAS2017-77, pp. 71-74, Dec. 2017.

  48. 松本明樹, 高橋康宏, 関根敏和, “浮遊ゲートFETの新たな関数フィッティングによるSPICEモデル化,” 信学技報, vol. 117, no. 343, CAS2017-78, pp. 75-78, Dec. 2017.

  49. 西脇友崇, 高橋康宏, 関根敏和, “PADDLに基づく断熱的可逆論理回路のセキュリティ性向上の一提案,” 信学技報, vol. 117, no. 344, ICD2017-76, pp. 113-117, Dec. 2017.

  50. 伊藤凛太郎, 高橋康宏, 関根敏和, “バーチャルグランド構造を有する断熱的FinFET SRAMにおける動作に関する検討,” 信学技報, vol. 117, no. 344, ICD2017-77, pp. 119-122, Dec. 2017.

  51. X. Chen, and Y. Takahashi, “アクティブインダクタを用いたTIAの広帯域化に関する検討,” 信学技報, vol. 117, no. 344, ICD2017-78, pp. 123-126, Dec. 2017.

  52. 小島侑也, 関根敏和, 高橋康宏, “1ポート測定による4ポートSパラメータ推定とその2ポート間接測定への応用,” 信学技報, vol. 117, no. 224, MW2017-82, pp. 1-5, Oct. 2017.

  53. 松下優斗, 関根敏和, 高橋康宏, “帯域制限された周波数応答を用いるステップ応答推定の検討,” 信学技報, vol. 117, no. 224, EMCJ2017-38, pp. 67-72, Oct. 2017.

  54. 分元涼太, 高橋康宏, 関根敏和, “FinFET 4T-SRAMの閾値ばらつきを考慮したSNMと読込,書込評価,” 2017年電気学会電子回路研究会, vol. ECT-17, pp. 97-100 (ECT-17-114), Oct. 2017.

  55. 西脇友崇, 高橋康宏, 関根敏和, “PADDLに基づく断熱的可逆論理回路,” 2017年電気学会電子回路研究会, vol. ECT-17, pp. 7-12 (ECT-17-054), July 2017.

  56. 伊藤凜太郎, 高橋康宏, 関根敏和, “バーチャルグランド構造を有する断熱的FinFET SRAM,” 2017年電気学会電子回路研究会, vol. ECT-17, pp. 13-16 (ECT-17-055), July 2017.

  57. 松本明樹, 高橋康宏, 関根敏和, “浮遊ゲートFETのSPICEモデル化とその動作検証,” 2017年電気学会電子回路研究会, vol. ECT-17, pp. 57-60 (ECT-17-067), July 2017.

  58. 田中友貴, 高橋康宏, 関根敏和, “Drowsyキャッシュによる断熱的FinFET SRAM,” 2017年電気学会電子回路研究会, vol. ECT-17, pp. 61-66 (ECT-17-068), July 2017.

  59. 大野慎治, 関根敏和, 高橋康宏, “治具のSパラメータを用いる多ポート回路の間接Sパラメータ推定法 〜測定ポート数が被測定回路のポート数と等しい場合〜” 信学技報, vol. 117, no. 104, MW2017-29, pp. 43-47, June 2017.

  60. 河原純平, 高橋康宏, 関根敏和, “断熱的論理を用いたS-Box回路の電流,エネルギー変動評価,” 第30回 IEICE 回路とシステムワークショップ論文集, pp. 111-116, May 2017 (査読付).

  61. 林勇輝, 高橋康宏, 関根敏和, “FinFETによるダイオード接続断熱的論理回路の消費エネルギーの検討,” 第30回 IEICE 回路とシステムワークショップ論文集, pp. 117-120, May 2017 (査読付).

  62. 山本秀朗, 高橋康宏, 関根敏和, “65nmSOTBを用いた断熱的論理回路群によるS-BOX回路の検証,” 第30回 IEICE 回路とシステムワークショップ論文集, pp. 121-126, May 2017 (査読付).

  63. 分元涼太, 高橋康宏, 関根敏和, “FinFET 4T-SRAMのSNMと読込,書込評価,” 第30回 IEICE 回路とシステムワークショップ論文集, pp. 127-131, May 2017 (査読付).

  64. 松下優斗, 関根敏和, 高橋康宏, “回路のステップ応答に基づく入出力応答の直接推定,” 第30回 IEICE 回路とシステムワークショップ論文集, pp. 232-236, May 2017 (査読付).

  65. 小島侑也, 関根敏和, 高橋康宏, “測定ポート数と被測定回路のポート数が異なる間接Sパラメータ推定におけるTパラメータの自由度,” 第30回 IEICE 回路とシステムワークショップ論文集, pp. 237-242, May 2017 (査読付).

  66. 松下優斗, 関根敏和, 高橋康宏, “ステップ応答を基にする入力または出力応答の直接推定,” 2017年電子情報通信学会総合大会講演論文集, vol.2017, p. 32 (A-1-32), March 2017.

  67. 小島侑也, 関根敏和, 高橋康宏, “間接Sパラメータ推定に必要な既知の負荷数とTパラメータの自由度,” 2017年電子情報通信学会総合大会講演論文集, vol.2017, p. 292 (B-4-14), March 2017.

  68. 松下優斗, 関根敏和, 高橋康宏, “緩やかに立上がる波形を入力に用いる理想ステップ応答推定とFDTD法への応用,” 信学技報, vol. 116, no. 467, CAS2016-131, pp. 95-98, Feb. 2017.

  69. 松下優斗, 関根敏和, 高橋康宏, “緩やかに立上がる波形を入力に用いる理想ステップ応答推定法と損失線路パラメータ導出への応用,” 信学技報, vol. 116, no. 370, EMCJ2016-106, pp. 37-42, Dec. 2016.

  70. 小島侑也, 関根敏和, 高橋康宏, “測定ポート数と被測定回路ポート数が異なる場合の間接Sパラメータ推定の検討,” 信学技報, vol. 116, no. 363, MW2016-152, pp. 113-117, Dec. 2016.

  71. 小島侑也, 関根敏和, 高橋康宏, “治具のTパラメータを用いる多ポート回路のSパラメータ推定法 〜測定と回路のポート数が異なる場合〜,” 信学技報, vol. 116, no. 253, EMCJ2016-80, pp. 119-124, Oct. 2016.

  72. 大野修平, 高橋康宏, 関根敏和, “FinFETを用いた断熱的論理回路による4×4bit乗算器の動作マージン解析,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 26 (A-1-26), Sept. 2016.

  73. 林勇輝, 高橋康宏, 関根敏和, “FinFETによる断熱的論理回路の低消費電力化の検討,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 27 (A-1-28), Sept. 2016.

  74. 分元涼太, 高橋康宏, 関根敏和, “FinFET 4T-RAMのSNM評価,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 28 (A-1-28), Sept. 2016.

  75. 河原純平, 高橋康宏, 関根敏和, “スマートカードのための暗号用断熱的論理回路の電流変動評価,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 31 (A-1-31), Sept. 2016.

  76. 山本秀朗, 高橋康宏, 関根敏和, “65nmSOTBプロセスによる断熱的論理回路CSSALを用いた応用回路の検証,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 32 (A-1-32), Sept. 2016.

  77. 松下優斗, 関根敏和, 高橋康宏, “時間領域で理想ステップ応答を推定する一方法とTDR法の高精度化への応用,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 53 (C-2-43), Sept. 2016.

  78. 小島侑也, 関根敏和, 高橋康宏, “治具の測定ポート数が被測定多ポート回路のポート数より多い場合のSパラメータ推定法,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 248 (B-4-40), Sept. 2016.

  79. 陳翔宇, 関根敏和, 高橋康宏, “外部電磁界の影響下にある終端された伝送線路の時間領域等価回路,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, p. 266 (B-4-58), Sept. 2016.

  80. 斉藤大輔, 関根敏和, 高橋康宏, “回路方程式の一デスクリプタシステム表現の制御源を含む場合への拡張,” 2016年電子情報通信学会ソサイエティ大会講演論文集, vol. 2016, pp. S-23 - S-24 (CS-2-9), Sept. 2016.

  81. 陳翔宇, 関根敏和, 高橋康宏, “時間領域測定による線路上の外部電磁界影響位置の推定,” 信学技報, vol. 116, no. 135, EMCJ2016-47, pp. 19-23, July 2016.

  82. 小島侑也, 関根敏和, 高橋康宏, “治具の相反性を考慮した多ポートSパラメータの推定法,” 信学技報, vol. 116, no. 114, MW2016-23, pp. 15-20, June 2016.

  83. 松下優斗, 関根敏和, 高橋康宏, “因果性を満足するように帯域制限データを補償する高精度過渡解析法の不均一線路解析への応用,” 信学技報, vol. 116, no. 114, MW2016-34, pp. 21-26, June 2016.

  84. 前田登, 福井伸治, 関根敏和, 高橋康宏, “伝達係数部分行列による相似変換に基づく多ポートSパラメータの間接測定法,” 信学技報, vol. 116, no. 114, MW2016-35, pp. 27-32, June 2016.

  85. 斉藤大輔, 関根敏和, 高橋康宏, “既約接続行列に基づく回路方程式の一デスクリプタシステム表現,” 信学技報, vol. 116, no. 93, CAS2016-5, pp. 22-28, June 2016.

  86. 鎌田陸人, 関根敏和, 高橋康宏, “非隣接共振器結合構造と直並列共振器結合構造の等価関係,” 信学技報, vol. 116, no. 51, MW2016-12, pp. 15-20, May 2016.

  87. 大野修平, 高橋康宏, 関根敏和, “FinFETを用いた断熱的論理回路に逆バイアスを加えることによる4×4bit乗算器における消費電力低減効果,” 第29回 IEICE 回路とシステムワークショップ論文集, pp. 1-6, May 2016 (査読付).

  88. 坂井雅司, 関根敏和, 高橋康宏, “断熱回路用レクテナで動作するCMOS論理回路の信号波形の改善,” 第29回 IEICE 回路とシステムワークショップ論文集, pp. 7-12, May 2016 (査読付).

  89. 河原純平, 高橋康宏, 関根敏和, “スマートカード用暗号用論理回路のための断熱的論理回路の電流ばらつき評価,” 第29回 IEICE 回路とシステムワークショップ論文集, pp. 48-51, May 2016 (査読付).

  90. 山本秀朗, 高橋康宏, 関根敏和, “65nmSOTBプロセスによる断熱的論理回路CSSALを用いた応用回路の検証,” 第29回 IEICE 回路とシステムワークショップ論文集, pp. 54-57, May 2016 (査読付).

  91. 林勇輝, 高橋康宏, 関根敏和, “FinFETによる断熱的論理回路の出力改善の検討,” 第29回 IEICE 回路とシステムワークショップ論文集, pp. 58-60, May 2016 (査読付).

  92. 斉藤大輔, 関根敏和, 高橋康宏, “デスクリプタシステム表現を用いる回路方程式の一定式化,” 第29回 IEICE 回路とシステムワークショップ論文集, pp. 255-260, May 2016 (査読付).

  93. 斉藤大輔, 関根敏和, 高橋康宏, “デスクリプタシステム表現による回路方程式の一定式化,” 2016年電子情報通信学会総合大会講演論文集, vol. 2016, p. 1 (A-1-1), March 2016.

  94. 坂井雅司, 関根敏和, 高橋康宏, “断熱的論理回路の信号伝搬遅延に起因する波形劣化の一改善法,” 2016年電子情報通信学会総合大会講演論文集, vol. 2016, p. 2 (A-1-2), March 2016.

  95. 大野修平, 関根敏和, 高橋康宏, “FinFETを用いた断熱的論理回路に逆バイアスを加えることによる消費電力低減効果,” 2016年電子情報通信学会総合大会講演論文集, vol. 2016, p. 3 (A-1-3), March 2016.

  96. 陳翔宇, 関根敏和, 高橋康宏, “線路端部の入反射波測定による線路上の外部電磁界影響位置の推定,” 2016年電子情報通信学会総合大会講演論文集, vol. 2016, p. 383 (B-4-63), March 2016.

  97. 大野慎治, 関根敏和, 高橋康宏, “ポート間に不要な結合がある治具を用いる多ポートSパラメータ推定法の改良,” 信学技報, vol. 115, no. 377, EMCJ2015-100, pp. 63-68, Dec. 2015.

  98. 松原稔樹, 関根敏和, 高橋康宏, “非対称有極帯域フィルタの減衰と群遅延両特性の同時特性近似の一方法,” 信学技報, vol. 115, no. 260, MW2015-103, pp. 29-34, Oct. 2015.

  99. 斉藤大輔, 関根敏和, 高橋康宏, “状態方程式に基づくローカルグランドを持つ線路の解析,” 信学技報, vol. 115, no. 259, EMCJ2015-73, pp. 91-96, Oct. 2015.

  100. 大野慎治, 関根敏和, 高橋康宏, “ポート間に不要な結合がある治具を用いる場合の多ポートのSパラメータ推定,” 信学技報, vol. 115, no. 217, EMCJ2015-54, pp. 7-12, Sept. 2015.

  101. C. Monteiro, 高橋康宏, 関根敏和, “Efficient DPA-Resistance verification of CSSAL AES S-box LSI implemented using 0.18 µm CMOS technology,” 第28回 IEICE 回路とシステムワークショップ論文集, pp. 76-81, Aug. 2015 (査読付).

  102. 加藤和成, 高橋康宏, 関根敏和, “サブスレッショルド断熱的論理回路の消費電力解析,” 第28回 IEICE 回路とシステムワークショップ論文集, pp. 82-87, Aug. 2015 (査読付).

  103. 大野修平, 高橋康宏, 関根敏和, “FinFETによる断熱的論理回路の消費電力低減効果,” 2015年電気学会電子回路研究会, vol. ECT-15, no. 3, pp. 77-82 (ECT-15-060), July 2015.

  104. 松原稔樹, 関根敏和, 高橋康宏, “有極結合共振器フィルタの減衰と群遅延両特性同時近似の一方法,” 信学技報, vol. 115, no. 115, MW2015-41, pp. 19-22, June 2015.

  105. 松原稔樹, 関根敏和, 高橋康宏, “制約条件付きベクターフィッティングとフィルタ特性近似への応用,” 信学技報, vol. 115, no. 87, CAS2015-10, pp. 53-58, June 2015.

  106. 斉藤大輔, 関根敏和, 高橋康宏, “修正節点解析に基づく回路の状態方程式定式化の検討,” 2015年電子情報通信学会総合大会講演論文集, vol. 2015, p. 1 (A-1-1), March 2015.

  107. 坂井雅司, 関根敏和, 高橋康宏, “低消費電力断熱的論理回路用無線給電回路,” 2015年電子情報通信学会総合大会講演論文集, vol. 2015, p. 2 (A-1-2), March 2015.

  108. 坂井雅司, 関根敏和, 高橋康宏, “断熱的論理回路用無線給電回路の整合,” 2015年電子情報通信学会総合大会講演論文集, vol. 2015, p. 612 (B-21-11), March 2015.

  109. 坂井雅司, 関根敏和, 高橋康宏, “低消費電力断熱的論理回路用無線給電回路の検討,” 信学技報, vol. 114, no. 498, MW2014-211, pp. 49-54, March 2015.

  110. 斉藤大輔, 関根敏和, 高橋康宏, “修正節点解析に基づく状態方程式の一定式化,” 信学技報, vol. 114, no. 425, CAS2014-119, pp. 71-76, Jan. 2015.

  111. C. Monteiro, 高橋康宏, 関根敏和, “Security evaluation of CSSAL countermeasure against side-channel attacks using frequency spectrum analysis,” 信学技報, vol. 114, no. 381, EMCJ2014-82, pp. 75-80, Dec. 2014.

  112. 大野慎治, 関根敏和, 高橋康宏, “不要な結合がある治具に接続された2ポートのSパラメータ推定法の検討,” 信学技報, vol. 114, no. 267, MW2014-104, pp. 35-40, Oct. 2014.

  113. 加藤和成, 高橋康宏, 関根敏和, “サブスレッショルド断熱的論理回路による4×4-bit乗算器のシミュレーション,” 2014年電子情報通信学会ソサイエティ大会講演論文集, vol. 2014, p. 18 (A-1-18), Sept. 2014.

  114. 松原稔樹, 関根敏和, 高橋康宏, “任意の実周波数および複素周波数に減衰極を持つ共振器結合形フィルタの正関数による合成と等価変換,” 信学技報, vol. 114, no. 111, MW2014-45, pp. 17-22, June 2014.

  115. 大野慎治, 関根敏和, 高橋康宏, “1ポートまたは2ポート測定による4ポートSパラメータ推定に使用する負荷の条件,” 信学技報, vol. 114, no. 15, EMCJ2014-4, pp. 19-24, April 2014.

  116. C. Monteiro, 高橋康宏, 関根敏和, “Measurement of CSSAL Multiplier over GF(24) LSI Implemented in 0.18 µm CMOS Technology,” 2014年電子情報通信学会総合大会講演論文集, vol. 2014, p. 2 (A-1-2), March 2014.

  117. 加藤和成, 高橋康宏, 関根敏和, “サブスレッショルド断熱的論理回路の消費エネルギー比較,” 2014年電子情報通信学会総合大会講演論文集, vol. 2014, p. 3 (A-1-3), March 2014.

  118. 尾形治城, 高橋康宏, 関根敏和, “メモリスタの抵抗値決定のための電圧波形と消費電力の関係,” 2014年電子情報通信学会総合大会講演論文集, vol. 2014, p. 5 (A-1-5), March 2014.

  119. 大野慎治, 関根敏和, 高橋康宏, “4 ポートSパラメータの一推定法,” 2014年電子情報通信学会総合大会講演論文集, vol. 2014, p. 93 (C-2-63), March 2014.

  120. 松原稔樹, 関根敏和, 高橋康宏, “ベクターフィッティングによるフィルタ関数の特性近似,” 2014年電子情報通信学会総合大会講演論文集, vol. 2014, p. 102 (C-2-72), March 2014.

  121. 趙琳, 関根敏和, 高橋康宏, “1相または2相の交流電源を用いる断熱的論理回路の消費エネルギー比較,” 信学技報, vol. 113, no. 427, CAS2013-83, pp. 53-57, Feb. 2014.

  122. 大野慎治, 関根敏和, 高橋康宏, “2ポート測定による4ポートSパラメータ推定の一方法,” 信学技報, vol. 113, no. 427, CAS2013-86, pp. 67-70, Feb. 2014.

  123. 前田登, 福井伸治, 直井孝, 市川浩司, 関根敏和, 高橋康宏, “rポート測定による2rポートSパラメータの推定,” 信学技報, vol. 113, no. 368, EMCJ2013-107, pp. 55-60, Dec. 2013.

  124. 石田一恭, 関根敏和, 高橋康宏, “CIP法による不均一線路の解析,” 信学技報, vol. 113, no. 368, EMCJ2013-108, pp. 61-66, Dec. 2013.

  125. 伊達龍吾, 関根敏和, 高橋康宏, “線路上の外部電磁界影響位置を端部電流測定によって推定する一方法の検討,” 信学技報, vol. 113, no. 368, EMCJ2013-110, pp. 73-78, Dec. 2013.

  126. [招待講演] 高橋康宏, C. Monteiro, 関根敏和, “負荷容量均一化対称構造断熱的論理回路CSSAL 〜論理回路設計と暗号回路設計の事例〜,” 信学技報, vol. 113, no. 224, CAS2013-49, pp. 71-75, Sept. 2013.

  127. 加藤和成, 高橋康宏, 関根敏和, “サブスレッショルド領域で動作する断熱的論理回路の動作検証,” 信学技報, vol. 113, no. 224, CAS2013-50, pp. 77-82, Sept. 2013.

  128. 尾形治城, 高橋康宏, 関根敏和, “メモリスタを用いたシナプス回路への断熱動作の評価,” 信学技報, vol. 113, no. 224, CAS2013-51, pp. 83-87, Sept. 2013.

  129. C. Monteiro, 高橋康宏, 関根敏和, “LSI implementation of a secure low-power CSSAL cellular multiplier,” 信学技報, vol. 113, no. 224, CAS2013-52, pp. 89-94, Sept. 2013.

  130. 趙琳, 関根敏和, 高橋康宏, “交流電源を用いる準断熱的CMOS論理回路,” 2013年電気関係学会東海支部連合大会, G2-3, Sept. 2012.

  131. 伊達龍吾, 関根敏和, 高橋康宏, “線路上の外部雑音誘起位置の端部電流測定による推定,” 2013年電子情報通信学会ソサイエティ大会講演論文集, vol. 2013, p. 11 (A-1-11), Sept. 2013.

  132. 趙琳, 関根敏和, 高橋康宏, “準断熱的CMOS論理回路の消費エネルギー比較,” 2013年電子情報通信学会ソサイエティ大会講演論文集, vol. 2013, p. 12 (A-1-12), Sept. 2013.

  133. 尾形治城, 高橋康宏, 関根敏和, “メモリスタを用いたシナプス回路への断熱動作の評価,” 2013年電子情報通信学会ソサイエティ大会講演論文集, vol. 2013, p. 13 (A-1-13), Sept. 2013.

  134. 加藤和成, 高橋康宏, 関根敏和, “サブスレッショルド領域で動作する断熱的論理回路の動作検証,” 2013年電子情報通信学会ソサイエティ大会講演論文集, vol. 2013, p. 14 (A-1-14), Sept. 2013.

  135. C. Monteiro, 高橋康宏, 関根敏和, “LSI implementation of a bit-parallel cellular multiplier over GF(24) using charge-sharing symmetric adiabatic logic,” 2013年電子情報通信学会ソサイエティ大会講演論文集, vol. 2013, p. 101 (C-12-41), Sept. 2013.

  136. 伊達龍吾, 関根敏和, 高橋康宏, “線路端部電流測定による線路上の外部電磁界影響位置の推定,” 信学技報, vol. 113, no. 125, EMCJ2013-44, pp. 29-32, July 2013.

  137. 前田登, 福井伸治, 関根敏和, 高橋康宏, “1ポート測定による相反3ポート回路のSパラメータの推定,” 信学技報, vol. 113, no. 101, EMCJ2013-20, pp. 45-49, June 2013.

  138. C. Monteiro, 高橋康宏, 関根敏和, “Low power CSSAL bit-parallel multiplier over GF(24) in 0.18 µm CMOS technology,” 信学技報, vol. 113, no. 2, EMCJ2013-3, pp. 13-18, April 2013.

  139. 趙琳, 関根敏和, 高橋康宏, “準断熱的CMOS論理回路のスイッチング動作の検討,” 2013年電子情報通信学会総合大会講演論文集, vol. 2013, p. 9 (A-1-9), March 2013.

  140. 前田登, 福井伸治, 関根敏和, 高橋康宏, “n-1ポート測定によるnポートSパラメータ推定,” 2013年電子情報通信学会総合大会講演論文集, vol. 2013, p. 393 (B-4-48), March 2013.

  141. 伊達龍吾, 関根敏和, 高橋康宏, “伝送線路端部の電圧を測定して線路上の電圧分布を求める一方法,” 信学技報, vol. 112, no. 418, CAS2012-69, pp. 19-21, Jan. 2013.

  142. 前田登, 福井伸治, 市川浩司, 櫻井礼彦, 関根敏和, 高橋康宏, “2ポート測定による3ポートSパラメータ推定とそのイミュニティ試験系への応用,” 信学技報, vol. 112, no. 361, EMCJ2012-98, pp. 81-85, Dec. 2012.

  143. C. Monteiro, 高橋康宏, 関根敏和, “Survey on secure adiabatic logic for countermeasure against side-channel attacks,” 信学技報, vol. 112, no. 361, EMCJ2012-100, pp. 95-100, Dec. 2012.

  144. 趙琳, 関根敏和, 高橋康宏, “準断熱的CMOS論理回路の周波数特性,” 2012年電気関係学会東海支部連合大会, A1-8, Sept. 2012.

  145. 石田一恭, 関根敏和, 高橋康宏, “損失伝送線路過渡解析におけるADI-FDTD法の離散化誤差,” 2012年電気関係学会東海支部連合大会, N4-6, Sept. 2012.

  146. 浦田雄紀, 高橋康宏, 関根敏和, “断熱的論理256bitCAM回路の消費電力評価,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, p. 13 (A-1-13), Sept. 2012.

  147. 趙琳, 関根敏和, 高橋康宏, “準断熱的CMOS論理回路,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, p. 14 (A-1-14), Sept. 2012.

  148. ラクゾンユイ, 高橋康宏, 関根敏和, “DPFAL: ダイオードを用いた正帰還断熱的論理回路,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, p. 16 (A-1-16), Sept. 2012.

  149. 石田一恭, 関根敏和, 高橋康宏, “損失伝送線路過渡解析における拡張特性法の離散化誤差,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, p. 23 (A-1-23), Sept. 2012.

  150. C. Monteiro, 高橋康宏, 関根敏和, “Investigation study of inner-cell bit-parallel multiplier over GF(2m) using secure adiabatic logic style,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, p. 116 (A-7-6), Sept. 2012.

  151. 高橋康宏, 関根敏和, 横山道央, “サブスレッショルド断熱的論理回路の性能解析と省電力効果,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, pp. S-13 - S-14 (AS-1-7), Sept. 2012.

  152. 熊崎勲, 関根敏和, 高橋康宏, “離散ヒルベルト変換を用いた時間領域解析の高精度化,” 信学技報, 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, p. 268 (C-15-14), Sept. 2012.

  153. 村澤光一, 関根敏和, 高橋康宏, “複素減衰極による有極結合形フィルタの群遅延特性改善方法の比較,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, pp. S-25 - S-26 (CS-2-4), Sept. 2012.

  154. 中島佑樹, 関根敏和, 高橋康宏, “正弦波発振器のQについての一考察,” 2012年電子情報通信学会ソサイエティ大会講演論文集, vol. 2012, pp. S-37 - S-38 (CS-3-1), Sept. 2012.

  155. 関根敏和, 高橋康宏, “損失不均一媒質多線状結合線路の時間領域モード分解の考察,” 信学技報, vol. 112, no. 109, MW2012-24, pp. 31-35, June 2012.

  156. 村澤光一, 関根敏和, 高橋康宏, “複素減衰極による結合形フィルタの群遅延特性改善,” 信学技報, vol. 112, no. 109, MW2012-23, pp. 25-30, June 2012.

  157. C. Monteiro, 高橋康宏, 関根敏和, “A comparison of cellular multiplier cell for finite field GF(2m) using secure adiabatic logics,” 2012年電気学会電子回路研究会, vol. ECT-12, no. 3, pp. 73-77 (ECT-12-052), June 2012.

  158. 村澤光一, 関根敏和, 高橋康宏, “全域通過関数付加による非対称有極結合形フィルタの群遅延改善,” 2012年電子情報通信学会総合大会講演論文集, vol. 2012, p. 40 (A-1-40), March 2012.

  159. 中島佑樹, 関根敏和, 高橋康宏, “正弦波発振器の位相雑音とQの関係,” 信学技報, vol. 111, no. 337, CAS2011-97, pp. 67-70, Jan. 2012.

  160. 川崎佳裕, 関根敏和, 高橋康宏, “電束密度と磁束密度に結合があるメタマテリアル線路の特性解析,” 信学技報, vol. 111, no. 377, CAS2011-89, pp. 25-30, Jan. 2012.

  161. 高橋康宏, 佐藤比佐夫, “断熱的論理回路用低消費バイアス電圧生成回路,” 2012年電気学会電子回路研究会, vol. ECT-12, no. 1, pp. 19-24 (ECT-12-004), Jan. 2012.

  162. 熊崎勲, 関根敏和, 高橋康宏, “離散ヒルベルト変換を用いた不均一線路の時間領域解析,” 信学技報, vol. 111, no. 242, CAS2011-42, pp. 55-60, Oct. 2011.

  163. 古宮山英明, 高橋康宏, 関根敏和, “断熱的論理を用いたSRAMとその評価,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 19 (A-1-19), Sept. 2011.

  164. C. Monteiro, 高橋康宏, 関根敏和, “Evaluation of secure adiabatic dynamic and adiabatic differential logic for cryptographic system,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 20 (A-1-20), Sept. 2011.

  165. 沓名崇, 高橋康宏, 関根敏和, “断熱的論理回路用電源向け位相同期回路の低消費電力化の検討,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 21 (A-1-21), Sept. 2011.

  166. Z. Luo, 高橋康宏, 関根敏和, “4N2P2D: 断熱的論理回路,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 22 (A-1-22), Sept. 2011.

  167. 浦田雄紀, 高橋康宏, 関根敏和, “メモリスタを用いたCAM回路への断熱動作の評価,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 23 (A-1-23), Sept. 2011.

  168. 熊崎勲, 関根敏和, 高橋康宏, “離散ヒルベルト変換を用いた伝送線路の時間領域解析,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 30 (A-1-30), Sept. 2011.

  169. 川崎佳裕, 関根敏和, 高橋康宏, “拡張された構成方程式を持つメタマテリアル線路の縦続行列,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 31 (A-1-31), Sept. 2011.

  170. 中島佑樹, 関根敏和, 高橋康宏, “発振器Qの基礎的検討,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 25 (C-2-1), Sept. 2011.

  171. 村澤光一, 関根敏和, 高橋康宏, “複素減衰極導入による有極結合形フィルタの群遅延特性改善,” 2011年電子情報通信学会ソサイエティ大会講演論文集, vol. 2011, p. 67 (C-2-43), Sept. 2011.

  172. 関根敏和, 高橋康宏, “拡張特性法の収束性解析,” 信学技報, vol. 111, no. 205, EMCJ2011-80, pp. 49-53, Sept. 2011.

  173. 川崎佳裕, 関根敏和, 高橋康宏, “電束密度と磁束密度に結合があるメタマテリアル線路の等価回路表現,” 第24回 IEICE 回路とシステムワークショップ論文集, pp. 289-293, Aug. 2011 (査読付).

  174. 村澤光一, 関根敏和, 高橋康宏, “共振器結合形フィルタの減衰最大平坦・遅延等波状特性近似,” 信学技報, vol. 111, no. 95, MW2011-35, pp. 17-22, June 2011.

  175. 高橋康宏, 浦田雄紀, 関根敏和, 横山道央, “メモリスタを用いた1T-SRAMの断熱的論理駆動に関する検討,” 2011年電子情報通信学会総合大会講演論文集, vol. 2011, p. 10 (A-1-10), March 2011.

  176. 川崎佳裕, 関根敏和, 高橋康宏, “拡張された構成方程式を持つメタマテリアル線路の等価回路表現,” 2011年電子情報通信学会総合大会講演論文集, vol. 2011, p. 20 (A-1-20), March 2011.

  177. 川崎佳裕, 関根敏和, 高橋康宏, “拡張された構成方程式を持つメタマテリアル線路の回路論的考察,” 信学技報, vol. 110, no. 447, MW2010-152, pp. 1-6, March 2011.

  178. 井上晃好, 関根敏和, 高橋康宏, “基本低域区間の並列接続からなる有極結合形フィルタの相似変換を用いた等価変換,” 信学技報, vol. 110, no. 237, MW2010-87, pp. 1-6, Oct. 2010.

  179. 井上晃好, 関根敏和, 高橋康宏, “共振器の共振周波数がフィルタ中心周波数と異なる場合の外部Qと結合係数,” 2010年電子情報通信学会ソサイエティ大会講演論文集, vol. 2010, p. 25 (A-1-25), Sept. 2010.

  180. 小田恭也, 関根敏和, 高橋康宏, “拡張特性法を用いた線路解析の収束性,” 2010年電子情報通信学会ソサイエティ大会講演論文集, vol. 2010, p. 16 (A-1-27), Sept. 2010.

  181. 小田恭也, 関根敏和, 高橋康宏, “拡張特性法を用いた線路解析の安定性および収束性の解析,” 信学技報, vol. 110, no. 194, EMCJ2010-50, pp. 53-58, Sept. 2010.

  182. 川崎佳裕, 関根敏和, 高橋康宏, “高速数値逆ラプラス変換の線路解析への応用,” 信学技報, vol.110, no. 17, EMCJ2010-5, pp. 25-30, April 2010.

  183. 井上晃好, 関根敏和, 高橋康宏, “低次の有極結合形フィルタの構成,” 2010年電子情報通信学会総合大会講演論文集, vol. 2010, p. 15 (A-1-15), March 2010.

  184. 小田恭也, 関根敏和, 高橋康宏, “拡張特性法による直線テーパ線路の過渡解析,” 2010年電子情報通信学会総合大会講演論文集, vol. 2010, p. 16 (A-1-16), March 2010.

  185. 高橋康宏, 浅井 拓也, 関根敏和, 横山道央, “断熱的論理回路の電力解析攻撃に関する評価,” 2010年電子情報通信学会総合大会講演論文集, vol. 2010, p. 17 (A-1-17), March 2010.

  186. N. A. Nayan, Y. Takahashi, and T. Sekine, “Overlapped-voltage clock driver and low peak voltage evaluation for 2PASCL,” 2010年電子情報通信学会総合大会講演論文集, vol. 2010, p. 18 (A-1-18), March 2010.

  187. 井上晃好, 関根敏和, 高橋康宏, “基本低域区間の並列接続からなる有極結合形フィルタの一般的合成法,” 信学技報, vol. 109, no. 431, MW2009-184, pp. 31-36, March 2010.

  188. 井上晃好, 関根敏和, 高橋康宏, “基本低域区間の並列接続からなる有極結合形フィルタの一合成法,” 信学技報, vol. 109, no. 242, MW2009-115, pp. 129-134, Oct. 2009.

  189. 小田恭也, 関根敏和, 高橋康宏, “拡張特性法による伝送線路解析,” 信学技報, vol. 109, no. 242, MW2009-116, pp. 135-140, Oct. 2009.

  190. 冨田裕貴, 高橋康宏, 関根敏和, “断熱的論理を適用したパストランジスタ論理回路の提案および従来論理回路との消費電力比較,” 2009年電子情報通信学会ソサイエティ大会講演論文集, vol. 2009, p. 3 (A-1-3), Sept. 2009.

  191. 小田恭也, 関根敏和, 高橋康宏, “空間と時間の刻みが任意な特性法による線路解析,” 2009年電子情報通信学会ソサイエティ大会講演論文集, vol. 2009, p. 4 (A-1-4), Sept. 2009.

  192. 井上晃好, 関根敏和, 高橋康宏, “基本低域区間の並列接続からなる結合型フィルタの一合成法,” 2009年電子情報通信学会ソサイエティ大会講演論文集, vol. 2009, p. 5 (A-1-5), Sept. 2009.

  193. 竹内俊太郎, 高橋康宏, 関根敏和, “D級アンプに用いられるオペアンプのひずみ率,” 2009年電子情報通信学会総合大会講演論文集, vol. 2009, p. 43 (A-1-43), March 2009.

  194. 加藤和成, 高橋康宏, 関根敏和, “無乗算FIRフィルタの共通部分項の出現頻度,” 2009年電子情報通信学会総合大会講演論文集, vol. 2009, p. 44 (A-1-44), March 2009.

  195. 廣瀬貴樹, 関根敏和, 高橋康宏, “分布定数線路の時間特性からみた表皮効果の近似式の評価,” 2009年電子情報通信学会総合大会講演論文集, vol. 2009, p. 45 (A-1-45), March 2009.

  196. 深津聡志, 関根敏和, 高橋康宏, “伝送線路解析における差分法の数値安定性,” 2009年電子情報通信学会総合大会講演論文集, vol. 2009, p. 46 (A-1-46), March 2009.

  197. N. A. Nayan, Y. Takahashi, and T. Sekine, “Two phase clocked adiabatic static logic circuit: A proposal for digital low power applications,” 2009年電子情報通信学会総合大会講演論文集, vol. 2009, p. 102 (C-12-14), March 2009.

  198. 長野俊哉, 高橋康宏, 関根敏和, 横山道央, “オンチップ可能な断熱的論理回路用正弦波電源回路,” 2009年電子情報通信学会総合大会講演論文集, vol. 2009, p. 105 (C-12-17), March 2009.

  199. 深津聡志, 関根敏和, 高橋康宏, “安定性解析を用いたYeeのFDTD法と中心差分法の比較,” 信学技報, vol. 108, no. 477, NLP2008-154, pp. 19-24, March 2009.

  200. 渡邉一範, 関根敏和, 高橋康宏, 小林邦勝, “ウェーブレットを用いた不均一線路方程式の周波数領域解析,” 信学技報, vol. 108, no. 367, EMCJ2008-87, pp. 7-12, Dec. 2008.

  201. N. A. Nayan, Y. Takahashi, and T. Sekine, “Low-power adiabatic logic circuit: Simulation and energy dissipation comparison,” 信学技報, vol. 108, no. 347, ICD2008-126, pp. 125-130, Dec. 2008.

  202. 関根敏和, 廣瀬貴樹, 高橋康宏, 小林邦勝, “分布定数線路の損失と時間応答の関係,” 信学技報, vol. 108, no. 256, EMCJ2008-63, pp. 23-28, Oct. 2008.

  203. 関根敏和, 高橋康宏, 小林邦勝, “分布定数線路の損失とアイダイヤグラムの関係,” 2008年電子情報通信学会総合大会講演論文集, vol. 2008, p. 1 (A-1-11), March 2008.

  204. 池田 司, 関根敏和, 高橋康宏, 小林邦勝, “基本低域区間の並列接続からなる等長線路有極低域フィルタ,” 信学技報, vol. 107, no. 475, CAS2007-90, pp. 37-42, Jan. 2008.

  205. 市村信幸, 関根敏和, 高橋康宏, 小林邦勝, “反射と透過を許容する透明電波吸収体の広帯域設計,” 信学技報, vol. 107, no. 371, EMCJ2007-104, pp. 49-53, Dec. 2007.

  206. 高橋康宏, 関根敏和, 横山道央, “断熱的論理回路2PADCLによる4bitアレイ型乗算器,” 第11回システムLSIワークショップ講演資料集およびポスター資料集, pp. 320-322, Nov. 2007. (PDF File: 342kB, Poster, MD5: 737f7d9d47f368197a41b777faa82e73)

  207. 酒井勇士, 福田陽平, 高橋康宏, 関根敏和, 横山道央, “2相Clocked-CMOS断熱的論理回路,” 第11回システムLSIワークショップ講演資料集およびポスター資料集, pp. 249-251, Nov. 2007. (PDF File: 194kB, Poster, MD5: 9392efcd5b698256ed84842e93801396)

  208. 福田陽平, 酒井勇士, 高橋康宏, 関根敏和, 横山道央, “2相Clocked-CMOS断熱的論理回路用電源の検討,” 第11回システムLSIワークショップ講演資料集およびポスター資料集, pp. 252-254, Nov. 2007. (PDF File: 169kB, Poster, 611cd9c01391de134db10a7503b94147)

  209. 渡邉一範, 関根敏和, 高橋康宏, 小林邦勝, “Yee格子とウェーブレットを用いる不均一線路方程式の解析,” 2007年電子情報通信学会ソサイエティ大会講演論文集, vol. 2007, p. 14 (A-1-14), Sept. 2007.

  210. 高橋康宏, 都築大二郎, 関根敏和, 横山道央, “2相駆動断熱的論理回路による16bitRISC型CPUの設計,” 2007年電子情報通信学会総合大会講演論文集, vol. 2007, p. 10 (A-1-10), March 2007.

  211. 池田 司, 関根敏和, 高橋康宏, 小林邦勝, “基本区間の並列接続からなる等長線路有極低域フィルタ,” 2006年電子情報通信学会総合大会講演論文集, vol. 2007, p. 13 (A-1-13), March 2007.

  212. 市村信幸, 関根敏和, 高橋康宏, 小林邦勝, “2層電波吸収体の透過型両面無反射設計,” 2007年電子情報通信学会総合大会講演論文集, vol. 2007, p. 18 (A-1-18), March 2007.

  213. 市川大起, 関根敏和, 高橋康宏, 小林邦勝, “逆FDTD法における境界処理の一改良,” 2007年電子情報通信学会総合大会講演論文集, vol. 2007, p. 35 (A-1-35), March 2007.

  214. 関根敏和, 高橋康宏, 小林邦勝, “Yee格子有限要素法による線路方程式の解析,” 2006年電子情報通信学会ソサイエティ大会講演論文集, vol. 2006, p. 19 (A-1-19), Sept. 2006.

  215. 足立篤紀, 関根敏和, 高橋康宏, 小林邦勝, “カルマンフィルタを用いる損失不均一線路合成の収束,” 2006年電気関係学会東海支部連合大会, O-246, Sept. 2006.

  216. 市川大起, 関根敏和, 高橋康宏, 小林邦勝, “損失のある曲がり配線構造の不均一線路による等価回路表示,” 2006年電気関係学会東海支部連合大会, O-247, Sept. 2006.

  217. 堀部雄司, 関根敏和, 高橋康宏, 小林邦勝, “損失伝送線路解析における拡張特性法の数値分散特性,” 2006年電気関係学会東海支部連合大会, O-248, Sept. 2006.

  218. 市川大起, 関根敏和, 高橋康宏, 小林邦勝, “Sパラメータ計測による不均一線路の一合成法,” 信学技報, vol. 106, MW2006-34, pp. 53-58, June 2006.

  219. 関根敏和, 高橋康宏, 小林邦勝, “電圧と電流の計算点を交互に配置した有限要素法による線路方程式の解析,” 2006年電子情報通信学会総合大会講演論文集, vol. 2006, p. 13 (A-1-13), March 2006.

  220. 堀部雄司, 高橋康宏, 関根敏和, 小林邦勝, “線路解析における特性法の一拡張とその数値安定性,” 信学技報, vol. 105, no. 454, EMCJ2005-120, pp. 35-40, Dec. 2005.

  221. 足立篤紀, 高橋康宏, 関根敏和, 小林邦勝, “雑音のあるデータを用いた損失不均一線路の合成,” 2005年電子情報通信学会ソサイエティ大会講演論文集, vol. 2005, p. 1 (A-1-1), Sept. 2005.

  222. 堀部雄司, 高橋康宏, 関根敏和, 小林邦勝, “伝送線路解析におけるクランク−ニコルソン法の数値分散特性,” 2005年電子情報通信学会ソサイエティ大会講演論文集, vol. 2005, p. 2 (A-1-2), Sept. 2005.

  223. 堀部雄司, 高橋康宏, 関根敏和, 小林邦勝, “クランク−ニコルソン法による損失不均一線路解析の数値安定性,” 信学技報, vol. 105, no. 151, MW2005-38, pp. 33-38, June 2005.

  224. 足立篤紀, 高橋康宏, 関根敏和, 小林邦勝, “カルマンフィルタを用いる損失不均一線路の時間領域合成,” 信学技報, vol. 105, no. 151, MW2005-39, pp. 39-44, June 2005.

  225. 高橋康宏, 横山道央, 庄野和宏, 水沼充, 高橋一清, “断熱的ダイナミックCMOS論理回路を用いた桁上げ伝播のない4bit加減算器,” 2002年電気関係学会東北支部連合大会, 1C-02, Aug 2002. (PDF File: 23kB, MD5: b02a36668e81559a9941fe8565ad0994)

  226. 小原健志, 高橋康宏、高橋一清, 横山道央, 庄野和宏, “容量分割型多相スイッチトキャパシタIIRヒルベルト変換器,” 2002年電気関係学会東北支部連合大会, 1C-03, Aug. 2002.

  227. 高橋康宏, 北嶋龍雄, 高橋一清, “CSD表現FIRフィルタを用いたヒルベルト変換器の設計,” 2001年電気学会電子回路研究会, vol. ECT-01, no. 43, pp. 7-12 (ECT-01-043), June 2001. (PDF File: 86kB, MD5: 8c71f8203987bcf5abb34af2126f081e)

  228. 高橋康宏, 高橋一清, “CSD表現FIRフィルタを用いたヒルベルト変換器の設計,” 2001年電子情報通信学会総合大会講演論文集, vol. 2001, no. Electornics 2, p. 98 (C-12-3), March 2001.

[総説・雑誌記事]
  1. 関根敏和, 高橋康宏, “断熱原理による超低消費電力論理回路,” 電子情報通信学会誌, vol. 100, no. 7, pp. 642-647, July 2017.

  2. 高橋康宏, “省エネを目指すLSI設計とEMC -スマートカード用暗号LSIを事例に回路レベルの観点から-,” 電磁環境工学情報誌 月刊EMC, no. 348, pp. 50-56, April 2017.

[特許]
  1. 土谷, 井上, 岸根, 伊藤大輔, 中村誠, 高橋康宏, “集積回路,光通信装置,” 特開2023-163316 (特願2022-074147).

  2. 伊藤大輔, 高橋康宏, 中村誠, 井上, 土谷, 岸根, “ドライバ回路,光スイッチシステム,及び通信ネットワーク,” 特開2023-125275 (特願2022-029275).

  3. 井上, 岸根, 土谷, 伊藤大輔, 高橋康宏, 中村誠, “出力インピーダンス可変ドライバ回路,レーザドライバ回路およびCPOモジュール,” 特開2023-125053 (特願2022-028963).

  4. 高橋康宏, 伊藤大輔, 中村誠, 土谷, 井上, 岸根, “トランスインピーダンスアンプ,” 特開2023-111476 (特願2022-013351).

  5. 井上, 岸根, 土谷, 伊藤大輔, 中村誠, 高橋康宏, “光通信受信用のトランスインピーダンスアンプに設けられるローパスフィルタの時定数制御回路,時定数切替トランスインピーダンスアンプ(TIA),光通信受信装置,受動光ネットワークシステムおよび車載光ネットワークシステム,” 特開2022-072472 (特願2021-185054).

  6. 徐, 長谷, 野坂, 中村誠, 高橋康宏, 伊藤大輔, 佐藤, 脇田, “可変利得アンプおよび自動利得制御アンプ,” 特開2021-040208 (特願2019-159311).

  7. 徐, 長谷, 野坂, 高橋康宏, 中村誠, 伊藤大輔, 福田海斗, “トランスインピーダンスアンプ,” 特許7344506 (特開2021-040207,特願2019-159310).

  8. 高橋康宏, モンテイロカンシオ, 関根敏和, “差動論理によりサイドチャネル攻撃から保護される暗号回路,” 特開2014-120942 (特願2012-274909).

  9. 高橋康宏, 古宮山, 関根敏和, “SRAMおよびその制御方法,” 特開2013-054793 (特願2011-191496).

  10. 高橋康宏, 佐藤, 桑野, 山田, 塚原, “電源回路,回路装置及び電子機器,” 特開2013-031043 (特願2011-166431).

  11. 高橋康宏, 佐藤, 桑野, 山田, 塚原, “電源回路,回路装置及び電子機器,” 特許5664490 (特開2013-031042,特願2011-166430).

  12. 高橋康宏, 佐藤, 桑野, 山田, 塚原, “電源回路,回路装置及び電子機器,” 特開2013-031041 (特願2011-166429).

  13. 桑野, 山田, 塚原, 高橋康宏, 佐藤, “回路装置及び電子機器,” 特許5664473 (特開2013-005242,特願2011-134678).

  14. 佐藤, 山田, 塚原, 桑野, 高橋康宏, “電路装置,電子設備以及電源供給方法,” CN102158217B (特許4905540の中国出願特許, 発明名称は簡体字表記).

  15. H. Sato, A. Yamada, N. Tsukahara, T. kuwano, and Y. Takahashi, “Circuit device, electronic apparatus, and power supply method,” US8258771 B2 (特許4905540のUS出願特許).

  16. 佐藤, 高橋康宏, “PLD回路,集積回路装置及び電子機器,” 未審査請求によるみなし取下 (特開2011-151441,特願2010-008743).

  17. 佐藤, 山田, 塚原, 桑野, 高橋康宏, “回路装置及び電子機器,” 特許5482161 (特開2011-124632,特願2009-278414).

  18. 佐藤, 山田, 塚原, 桑野, 高橋康宏, “回路装置,電子機器及び電源供給方法,” 特許5476958 (特開2011-124631,特願2009-278413).

  19. 佐藤, 山田, 塚原, 桑野, 高橋康宏, “回路装置,電子機器及び電源供給方法,” 特許4905540 (特開2011-109607,特願2009-265415).

  20. 高橋康宏, 関根敏和, “2相駆動CMOS断熱的論理回路,” 特許5239501 (特開2009-278433,特願2008-128407).




[指導学生の受賞・表彰]
  1. 冨永晃司, “電気学会 電気学会 電子・情報・システム部門 優秀論文発表賞A,” 2024年3月.
  2. 子安博貴, “岐阜大学 学業成績優秀者(学部長表彰),” 2020年3月.
  3. 陳翔宇, “岐阜大学 学業成績優秀者(学部長表彰),” 2020年3月.
  4. 子安博貴, “IEICE東海支部 学生研究奨励賞,” 2019年6月.
  5. 子安博貴, “電気学会 電気学会 電子・情報・システム部門 技術委員会奨励賞,” 2019年3月.
  6. 田川諒, “電気学会 電気学会 電子・情報・システム部門 技術委員会奨励賞,” 2019年3月.
  7. 福浦拓実, “電気学会 電気学会 電子・情報・システム部門 技術委員会奨励賞,” 2019年3月.
  8. 福浦拓実, “岐阜大学 学業成績優秀者(学部長表彰),” 2018年3月.
  9. 大野修平, “IEICE東海支部 学生研究奨励賞,” 2017年6月.
  10. 加藤和成, “岐阜大学 学業成績優秀者(学長表彰),” 2016年3月.
  11. 山本秀朗, “岐阜大学 学業成績優秀者(学長表彰),” 2016年3月.
  12. 加藤和成, “IEICE東海支部 学生研究奨励賞,” 2015年6月.
  13. Câncio Monteiro, “第4回VDECデザインアワード 優秀賞,” 2014年8月.
  14. Câncio Monteiro, “IEICE東海支部 学生研究奨励賞,” 2014年6月.
  15. Câncio Monteiro, “IEEE名古屋支部 国際会議研究発表賞,” 2014年3月.
  16. 加藤和成, “IEICE回路とシステム研究会 学生優秀賞,” 2014年3月.
  17. Câncio Monteiro, “一般財団法人丸文財団 交流研究助成,” 2014年3月.
  18. Nazrul Anuar Nayan, “IEICE東海支部 学生研究奨励賞,” 2011年6月.
  19. Nazrul Anuar Nayan, “岐阜大学 学業成績優秀者(学長表彰),” 2011年3月.

[研究助成]
  1. 日本学術振興会 科学研究費補助金 基盤C (2022-2024, 代表)
  2. 情報通信研究機構 (NICT) Beyond 5G研究開発促進事業 受託研究 (2020-2024, 分担)
  3. 日本学術振興会 科学研究費補助金 若手B (2017-2018)
  4. 公益社団法人 小川科学技術財団 特定研究助成 (2016)
  5. 岐阜大学 大学活性化研究費若手研究支援 (2015, 学内助成)
  6. 公益財団法人 住友電工グループ社会貢献基金 学術・研究助成 (2014)
  7. 日本学術振興会 科学研究費補助金 若手B (2012-2014)
  8. 公益財団法人 国際科学技術財団 研究助成 (2011)
  9. 公益財団法人 御器谷科学技術財団 研究開発助成 (2009)
  10. 岐阜大学工学部 先導的研究支援者プログラム (2008, 学内助成)
  11. 公益財団法人 中部電気利用基礎研究振興財団 研究助成 A2研究 (2008)
  12. 公益社団法人 小川科学技術財団 研究助成 (2007)
  13. 公益財団法人 中部電気利用基礎研究振興財団 出版助成 (2007)
  14. 公益財団法人 電気・電子情報学術振興財団 研究助成 (2007)

[海外渡航助成]
  1. 公益財団法人 電気通信普及財団 海外渡航旅費援助 (2008)
  2. 一般財団法人 丸文財団 国際交流助成 (2006)
  3. 岐阜大学工業倶楽部 工学振興基金 (2006, 2013 学内助成)

委員会・社会活動


[学内委員、学内活動]

 <学内委員>
  1. 工学部教務委員会 委員 (2015)
  2. 工学部教育用電子計算機システム管理運営委員会 委員長 (2007-2008, 2019), 委員 (2009-2011)
  3. 工学部ホームページワーキンググループ 委員 (2008-2011)
  4. 工学部LAN専門委員会 委員 (2011, 2015-2017, 2021, 2023)
  5. 岐阜大学フェア 工学部実行委員 (2012)
 <学内活動>
  1. 岐阜大学工学部テクノフェア 展示・講演 (2005-2008)
  2. 岐阜大学フェア 実験教室II (2011)
  3. 岐阜大学フェア 展示 (2012-2015)
  4. 岐阜大学フェアin飛騨高山 展示 (2013)
  5. 岐阜大学産官学連携フェア 展示 (2016)

[学会活動]

 <委員会関係>
  1. IEEE Int. Symp. Smart Electro. Syst. (iSES), Committee Member (2020: Program co-chairs)
  2. IEEJ Int. Conf. Analog VLSI Circuits (AVIC), Committee Member (2019: Publicity co-chairs, 2021: Publication co-chairs, 2022: General Secretary)
  3. 電子情報通信学会 2013年総合大会実行委員会 幹事
  4. 電子情報通信学会 回路とシステムワークショップ実行委員会 実行委員(2015, 2017-2019), 幹事(2016)
  5. 電子情報通信学会 東海支部 学生会委員(2016-2022), 支部運営委員(2022-)
  6. 電子情報通信学会 回路とシステム研究専門委員会 専門委員(2017-2022)
  7. 電子情報通信学会 会誌編集委員(2018-2020)
  8. 電子情報通信学会 代議員(2018)
  9. 電気学会 電子回路研究専門委員会 幹事(2018-)
  10. 令和6年度 電気・電子・情報関係学会 東海支部連合大会 現地実行委員会 副委員長
 <論文査読関係>
  1. Elsevier AEÜ International Journal of Electronics and Communications, Reviewer (2011-2013)
  2. Elsevier Integration, the VLSI Journal, Reviewer (2008-2013)
  3. Elsevier Microprocessors and Microsystems Journal, Reviewer (2011-2015)
  4. Far East Journal of Electronics and Communications, Editorial board member (2013-2015)
  5. IEEJ Proc. AVIC, Reviewer (2018-2019, 2021-)
  6. IEEE Proc. APCCAS, Reviewer (2012)
  7. IEEE Proc. ICECS, Reviewer (2009)
  8. IEEE Proc. ICCCT, Reviewer (2011-2014)
  9. IEEE Proc. ISCAS, Reviewer (2011-2014, 2017-2019)
  10. IEEE Proc. MWSCAS, Reviewer (2008, 2009)
  11. IEEE Proc. SCES, Reviewer (2014)
  12. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Reviewer (2015-2017)
  13. IEEE Transactions on Circuits and Systems-Part I, Reviewer (2017-2019)
  14. IEEE Transactions on Circuits and Systems-Part II, Reviewer (2012-2019)
  15. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Reviewer (2013-)
  16. IEEE Proc. TSP, Reviewer (2013-2015)
  17. IEICE Trans. Fundamentals, Special Section on “Circuits and Systems,” Associate Editor (2018)
  18. IET Circuits, Devices & Systems, Reviewer (2017-2018)
  19. MDPI Electronics, Reviewer (2019-)
  20. MDPI Journal of Low Power Electronics and Applications, Reviewer (2013, 2017-2019)
  21. MDPI Micromachines, Reviewer (2015)
  22. MDPI Sensors, Reviewer (2021-)
  23. Progress In Electromagnetics Research, Reviewer (2010-2013)
  24. Springer Analog Integrated Circuits and Signal Processing, Reviewer (2013-2019)
  25. Springer Nature Computer Science, Associate Editor (2020-)
  26. World Scientific Journal of Circuits, Systems and Computers, Reviewer (2012-2019)
  27. 電子情報通信学会 基礎・境界ソサイエティ 和文論文誌A 編集委員 (2017-2021)
  28. 電子情報通信学会 基礎・境界ソサイエティ 英文論文誌A 編集委員 (2017-2021)
  29. 電子情報通信学会 臨時査読委員 (2006-2021), 常任査読委員(2021-)
  30. 電子情報通信学会 和文論文誌A 回路とシステム小特集号 編集幹事 (2016, 2018)
  31. 電気学会 C部門誌 特集号 “電子回路関連技術” 編修幹事 (2019, 2020)
  32. 電気学会 論文誌 査読委員 (2009-)
 <座長関係>
  1. 平成21年度 電子情報通信学会東海支部卒業研究発表会 座長
  2. 平成22年度 電気関係学会東海支部連合大会 座長
  3. 平成27年度 電子情報通信学会総合大会 座長
  4. 平成27年度 電気学会電子回路研究会 座長(7月2日)
  5. 平成28年度 電子情報通信学会ソサイエティ大会 座長
  6. 平成29年度 電子情報通信学会総合大会 座長
  7. 平成29年度 電気学会電子回路研究会 座長(7月21日)
  8. 令和元年度 電気学会電子回路研究会 座長(12月6日)
  9. 令和 2年度 電気学会電子回路研究会 座長(1月23日)
  10. 令和 3年度 電気学会電子回路研究会 座長(3月6日, 12月9日)
  11. IEEE ISPACS 2018, Session: Circuits and Systems II, Chair
  12. IEEE ISVLSI 2019, Session: Explorations in Energy-Efficient Computing for IoT Applications I, Co-Chairs
  13. IEEJ AVIC 2019, Session: Radio Frequency, Co-chairs
  14. IEEE APMC 2020, Session: High-Speed and Broadband Millimeter and THz Wave Systems, Co-chairs
  15. IEEJ AVIC 2023, Session: RFs and Analog Circuit 1, Co-chairs

[学外活動]
  1. JST Innovation Bridge 岐阜大学研究発表会 講師 (2008)
  2. 国際科学技術財団 やさしい科学技術セミナー 講師 (2011)
  3. マイクロウェーブ展2015(MWE2015) 大学展示 (2015)
  4. JST イノベーションジャパン 展示 (2016)

[社会活動]
  1. 平成19年 9月 JICA短期派遣専門家 (専門:電子工学,於:東ティモール大学工学部,期間:9月9日−9月23日)
  2. 平成20年 3月 JICA短期派遣専門家 (専門:通信工学,於:東ティモール大学工学部,期間:3月9日−3月29日)
  3. 平成21年 8月 JICA短期派遣専門家 (専門:通信工学,於:東ティモール大学工学部,期間:8月16日−8月29日)
  4. 平成23年 3月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:3月23日−4月1日)
  5. 平成24年 3月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:3月21日−3月29日)
  6. 平成25年 8月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:8月28日−9月8日)
  7. 平成26年 3月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:3月28日−4月5日)
  8. 平成27年 3月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:3月11日−3月29日)
  9. 平成27年 8月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:8月12日−8月23日)
  10. 平成28年 8月 JICAプロジェクト調査団 (於:東ティモール大学工学部,期間:8月29日−9月4日)
  11. 平成29年 8月 JICA短期派遣専門家 (専門:電気工学,於:東ティモール大学工学部,期間:8月18日−8月30日)
  12. 平成30年 8月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:8月31日−9月9日)
  13. 平成31年 3月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:3月22日−3月31日)
  14. 令和元年 9月 JICA短期派遣専門家 (専門:電気電子工学,於:東ティモール大学工学部,期間:9月21日−9月29日)
  15. 令和 6年 2月 JICAプロジェクト調査団 (専門:電気電子工学,於:東ティモール大学工学部,期間:2月23日−3月3日)




IEEE Copyright Notice

© 2003- IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposed or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.